Part Number Hot Search : 
LTC31 1616AS5 M0803 A3130 SFH5440 HT46C22 AA3528 7SPB9307
Product Description
Full Text Search
 

To Download XC2VP20-8FF896C Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  r virtex-ii pro platform fpga handbook ug012 (v1.0) january 31, 2002
virtex-ii pro platform fpga handbook www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 the xilinx logo shown above is a registered trademark of xilinx, inc. the shadow x shown above is a trademark of xilinx, inc. "xilinx" and the xilinx logo are registered trademarks of xilinx, inc. any rights not expressly granted herein are reserved. coolrunner, rocketchips, rocket ip, spartan, statebench, statecad, virtex, xact, xc2064, xc3090, xc4005, xc5210 are registered trademarks of xilinx, inc. ace controller, ace flash, a.k.a. speed, alliance series, alliancecore, bencher, chipscope, configurable logic cell, core gener ator, corelinx, dual block, eztag, fast clk, fast connect, fast flash, fastmap, fast zero power, foundation, gigabit speeds...and bey ond!, hardwire, hdl bencher, irl, j drive, jbits, lca, logiblox, logic cell, logicore, logicprofessor, microblaze, microvia, multilin x, nano- blaze, picoblaze, plusasm, powerguide, powermaze, qpro, real-pci, rocket i/o, selecti/o, selectram, selectram+, silicon xpresso , smartguide, smart-ip, smartsearch, smartswitch, system ace, testbench in a minute, truemap, uim, vectormaze, versablock, versar ing, virtex-ii pro, wave table, webfitter, webpack, webpowered, xabel, xact-floorplanner, xact-performance, xactstep advanced, xactstep foundry, xam, xapp, x-blox +, xc designated products, xchecker, xdm, xepld, xilinx foundation series, xilinx xdtv, xi nfo, xsi, xtremedsp and zero+ are trademarks of xilinx, inc. the programmable logic company is a service mark of xilinx, inc. the following are trademarks of international business machines corporation in the united states, or other countries, or both: ibm ibm logo powerpc powerpc logo blue logic coreconnect cod epack all other trademarks are the property of their respective owners. xilinx does not assume any liability arising out of the application or use of any product described or shown herein; nor does i t convey any license under its patents, copyrights, or maskwork rights or any rights of others. xilinx reserves the right to make changes, at any ti me, in order to improve reliability, function or design and to supply the best product possible. xilinx will not assume responsibility for the use of any circuitry described herein other than circuitry entirely embodied in its products. xilinx provides any design, code, or information shown or described herein "as is." by providing the design, code, or information as one possible implementation of a feature, application, or standard, xi linx makes no rep- resentation that such implementation is free from any claims of infringement. you are responsible for obtaining any rights you may require for your implementation. xilinx expressly disclaims any warranty whatsoever with respect to the adequacy of any such implementation, inc luding but not limited to any warranties or representations that the implementation is free from claims of infringement, as well as any implie d warranties of mer- chantability or fitness for a particular purpose. xilinx assumes no obligation to correct any errors contained herein or to adv ise any user of this text of any correction if such be made. xilinx will not assume any liability for the accuracy or correctness of any engineering or software support or assistance provided to a user. xilinx products are not intended for use in life support appliances, devices, or systems. use of a xilinx product in such appli cations without the written consent of the appropriate xilinx officer is prohibited. copyright 2002 xilinx, inc. all rights reserved. virtex-ii pro platform fpga handbook ug012 (v1.0) january 31, 2002 revision history the following table summarizes changes made to each version of this document. date version revision 01/31/02 1.0 initial xilinx release. r
ug012 (v1.0) january 31, 2002 www.xilinx.com 3 virtex-ii pro platform fpga handbook 1-800-255-7778 about this handbook .............................................................................................................. 7 introduction to the virtex-ii pro fpga family ................................................ 11 part 1: virtex-ii pro data sheet virtex-ii pro platform fpgas: introduction and overview summary of virtex-ii pro features .......................................................................... 19 general description ........................................................................................................ 20 architecture ........................................................................................................................ 21 ip core and reference support ................................................................................. 24 virtex-ii pro device/package combinations and maximum i/os ............. 24 virtex-ii pro ordering information ......................................................................... 25 revision history ............................................................................................................... 25 virtex-ii pro data sheet modules ............................................................................ 25 virtex-ii pro platform fpgas: functional description virtex-ii pro array functional description ....................................................... 27 functional description: rocket i/o multi-gigabit transceiver (mgt) 27 functional description: processor block .............................................................. 33 functional description: powerpc 405 core ......................................................... 36 functional description: fpga ................................................................................... 39 revision history ............................................................................................................... 69 virtex-ii pro data sheet modules ............................................................................ 69 virtex-ii pro platform fpgas: dc and switching characteristics virtex-ii pro electrical characteristics ................................................................... 71 virtex-ii pro performance characteristics ............................................................ 77 virtex-ii pro switching characteristics ................................................................. 79 virtex-ii pro pin-to-pin output parameter guidelines ................................ 101 virtex-ii pro pin-to-pin input parameter guidelines .................................... 103 dcm timing parameters ............................................................................................ 104 revision history ............................................................................................................. 109 virtex-ii pro data sheet modules .......................................................................... 109 contents
4 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook r part 2: virtex-ii pro user guide chapter 1: timing models summary ............................................................................................................................ 11 3 processor block timing model ................................................................................ 114 rocket i/o timing model .......................................................................................... 121 clb / slice timing model .......................................................................................... 126 block selectram timing model ............................................................................ 135 embedded multiplier timing model ................................................................... 139 iob timing model ........................................................................................................ 141 pin-to-pin timing model ........................................................................................... 151 digital clock manager timing model ................................................................. 154 chapter 2: design considerations summary ............................................................................................................................ 16 1 introduction ...................................................................................................................... 161 rocket i/o transceiver ................................................................................................ 162 processor block ............................................................................................................... 180 global clock networks ............................................................................................... 202 digital clock managers (dcms) ............................................................................ 222 block selectram? memory .................................................................................... 243 distributed selectram memory ............................................................................ 260 look-up tables as shift registers (srluts) ................................................... 269 large multiplexers ........................................................................................................ 279 sum of products (sop) logic ................................................................................... 289 embedded multipliers ................................................................................................. 296 single-ended selecti/o resources ......................................................................... 303 digitally controlled impedance (dci) ................................................................ 333 double-data-rate (ddr) i/o ................................................................................... 348 lvds i/o ........................................................................................................................... 363 bitstream encryption .................................................................................................... 368 platform generator ........................................................................................................ 372 core generator system ............................................................................................ 372 chapter 3: configuration summary ............................................................................................................................ 38 9 introduction ...................................................................................................................... 389 configuration solutions ............................................................................................. 396 master serial programming mode ......................................................................... 403 slave serial programming mode ............................................................................ 404 master selectmap programming mode .............................................................. 406 slave selectmap programming mode ................................................................. 408 jtag/ boundary scan programming mode ...................................................... 412 configuration with multilinx .............................................................................. 431
ug012 (v1.0) january 31, 2002 www.xilinx.com 5 virtex-ii pro platform fpga handbook 1-800-255-7778 r configuration details .................................................................................................. 431 readback ............................................................................................................................ 44 1 chapter 4: pcb design considerations summary ............................................................................................................................ 44 7 pinout information ........................................................................................................ 447 pinout diagrams ............................................................................................................. 459 package specifications ................................................................................................. 489 flip-chip packages ........................................................................................................ 497 thermal data ................................................................................................................... 497 printed circuit board considerations .................................................................. 499 board routability guidelines .................................................................................. 505 xpower ............................................................................................................................... . 511 ibis models ...................................................................................................................... 511 bsdl and boundary scan models ......................................................................... 516 appendix a: bitgen and promgen switches and options using bitgen .................................................................................................................... 517 using promgen ........................................................................................................... 523 appendix b: xc18v00 series proms prom package specifications ................................................................................. 529 xc18v00 series of in-system programmable configuration proms features ............................................................................................................................... 533 description ........................................................................................................................ 533 pinout and pin description ....................................................................................... 534 xilinx fpgas and compatible proms ............................................................... 536 capacity .............................................................................................................................. 536 in-system programming ............................................................................................. 536 external programming ................................................................................................. 537 reliability and endurance ......................................................................................... 537 design security ............................................................................................................... 537 ieee 1149.1 boundary-scan (jtag) ....................................................................... 537 xc18v00 tap characteristics ................................................................................... 539 tap ac parameters ....................................................................................................... 539 connecting configuration proms ....................................................................... 539 master serial mode summary ................................................................................. 540 5v tolerant i/os ............................................................................................................. 543 reset activation .............................................................................................................. 543 standby mode ................................................................................................................. 543 customer control pins ................................................................................................ 543 absolute maximum ratings .................................................................................... 544 recommended operating conditions .................................................................. 544 quality and reliability characteristics ................................................................ 544
6 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook r dc characteristics over operating conditions .............................................. 545 ac characteristics over operating conditions for xc18v04 and xc18v02 .................................................................................................................... 546 ac characteristics over operating conditions for xc18v01, xc18v512, and xc18v256 .................................................................................................................. 547 ac characteristics over operating conditions when cascading for xc18v04 and xc18v02 ................................................................................................ 548 ac characteristics over operating conditions when cascading for xc18v01, xc18v512, and xc18v256 ..................................................................... 549 ordering information .................................................................................................. 550 valid ordering combinations ................................................................................. 550 marking information ................................................................................................... 550 revision history ............................................................................................................. 551 glossary ............................................................................................................................... .............. 553 index ............................................................................................................................... ....................... 579
ug012 (v1.0) january 31, 2002 www.xilinx.com 7 virtex-ii pro platform fpga handbook 1-800-255-7778 r about this handbook this document describes the function and operation of virtex-ii pro devices and also includes information on fpga configuration techniques and pcb design considerations. for virtex-ii pro device specifications, refer to the virtex-ii pro data sheet modules in part i of this handbook: ? virtex-ii pro platform fpgas: introduction and overview  virtex-ii pro platform fpgas: functional description  virtex-ii pro platform fpgas: dc and switching characteristics for details on the following topics, see the virtex-ii pro platform fpga user guide in part ii of this handbook:  chapter 1: timing models  chapter 2: design considerations  chapter 3: configuration  chapter 4: pcb design considerations  appendix a: bitgen and promgen switches and options  appendix b: xc18v00 series proms the data sheet in part i, together with chapter 2 in part ii, provide an overview of the rocket i/o multi-gigabit transceiver and powerpc 405 processor. for details, the following documents, available at www.xilinx.com/virtex2pro , offer in-depth technical design information:  rocket i/o user guide  ppc405 user manual  processor block manual additional resources resource description/url handbook this site contains the latest virtex-ii pro user guide and data sheet : http://www.xilinx.com/products/virtex/handbook.htm application notes this site contains device-specific design techniques and approaches: http://www.xilinx.com/apps/appsweb.htm xcell journals this site contains quarterly journals for xilinx programmable logic users: http://www.xilinx.com/xcell/xcell.htm
8 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook r typographical conventions the following typographical conventions are used in this manual:  red text indicates a cross-reference to information within the document set you are currently reading. click the red text to go to the referenced item. to return to the original page, right-click anywhere on the current page and select back .  blue-underlined text indicates a link to a web page. click blue-underlined text to browse the specified web site.  the courier (monospaced) typeface indicates prompts or program outputs displayed by the system: speed grade: 5  the courier bold typeface indicates literal commands that you enter in a syntactical statement. however, braces ?{ }? in courier bold are not literal, and square brackets ?[ ]? in courier bold are literal only in the case of bus specifications, such as bus[7:0] . rpt_del_net= courier bold also indicates menu command sequences: file open courier bold also indicates filenames, file extensions, and/or file system paths: ... delete testfile.s ... ... and all *.p files ... ... in the \web\docs\source\ folder ...  bold in the normal body text typeface indicates names of graphical user interface (gui) items. ... mark the use all search terms checkbox and click ok ...  italic denotes the following items: - inside angle brackets ? < > ? , variables in command strings that are substituted with user-defined values: edif2ngd - references to other documents: see the libraries guide for more information. -emphasis in text: if a wire is drawn so that it overlaps the pin of a symbol, the two nets are not connected. tech tips see this site for the latest news, design tips, and patch information on the xilinx design environment: http://www.xilinx.com/support/techsup/journals/index.htm data book the programmable logic data book describes device-specific information on xilinx device characteristics, including readback, boundary scan, configuration, length count, and debugging: http://www.xilinx.com/partinfo/databook.htm answers database this database provides a current listing of solution records for xilinx software tools. search this database using the search function at: http://www.xilinx.com/support/searchtd.htm resource description/url
ug012 (v1.0) january 31, 2002 www.xilinx.com 9 virtex-ii pro platform fpga handbook 1-800-255-7778 r  square brackets ? [ ] ? indicate an optional entry or parameter. the brackets are not typed when entering the parameter in the command string. however, in bus specifications, such as bus [7:0] , they are required. edif2ngd [< option_name >] < design_name >  braces ? { } ? enclose a list of items from which you must choose one or more; a vertical bar ? | ? separates items in a list of choices: lowpwr = {on|off}  a vertical ellipsis indicates repetitive material that has been omitted. iob #1: name = qout? iob #2: name = clkin? . . .  a horizontal ellipsis ? . . . ? indicates that an item can be repeated one or more times. allow block < block_name > < loc1 > < loc2 > ... < locn > ;
10 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook r
ug012 (v1.0) january 31, 2002 www.xilinx.com 11 virtex-ii pro platform fpga handbook 1-800-255-7778 r introduction to the virtex-ii pro fpga family the next logical revolution the virtex-ii pro platform fpga solution is the most technically sophisticated silicon and software product development in the history of the programmable logic industry. the goal was to revolutionize system architecture "from the ground up." to achieve that objective, the best circuit engineers and system architects from ibm, mindspeed, and xilinx co-developed the world ? s most advanced platform fpga silicon product. leading teams from top embedded systems companies worked together with xilinx software teams to develop the systems software and ip solutions that enabled new system architecture paradigm. the result is the first platform fpga solution capable of implementing high performance system-on-a-chip designs previously the exclusive domain of custom asics, yet with the flexibility and low development cost of programmable logic. the virtex-ii pro family marks the first paradigm change from programmable logic to programmable systems, with profound implications for leading-edge system architectures in networking applications, deeply embedded systems, and digital signal processing systems. it allows custom user-defined system architectures to be synthesized, next-generation connectivity standards to be seamlessly bridged, and complex hardware and software systems to be co-developed rapidly with in-system debug at system speeds. together, these capabilities usher in the next programmable logic revolution. built for bandwidth the virtex-ii pro family consists of five members, each with four to sixteen rocket i/o ? multi-gigabit transceivers based on the mindspeed skyrail ? technology. each xilinx rocket i/o block contains a complete set of user-configurable supporting circuitry that address real-life, system-level challenges. these include standard 8b/10b encode/decode, programmable signal integrity adjustments for varying pcb trace lengths and materials, support for synchronization of multiple channels, and programmable support for channel control commands. in addition, the rocket i/o blocks are the first fpga-embedded transceivers to reach a baud rate of 3.125 gb/s. four rocket i/o blocks, employing 16 pcb traces, can be used to support a full-duplex 10 gb/s channel by way of the rocket i/o channel-bonding feature. this is equivalent to 256 traces of typical lvttl buses or 68 traces of a high-speed, source-synchronous parallel lvds bus. it allows a pcb trace reduction of up to 16x over conventional parallel buses, resulting in significant reductions in pcb complexity and emi system noise. the rocket i/o technology fulfills higher bandwidth system requirements than currently possible, with cost savings from faster time-to-market, reduced printed circuit board (pcb) complexity, and lower component count. the virtex-ii pro members also incorporate small yet powerful powerpc processor cores. each of the larger virtex-ii pro devices incorporates one to four ibm powerpc 405
12 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook r processor cores, each capable of more than 300 mhz clock frequency and 420 dhrystone mips. while each processor core occupies a small die area, these processor cores provide tremendous system flexibility where they are used. the powerpc 405 cores are fully embedded within the fpga fabric, where all processor nodes are controlled by the fpga routing resources. this provides the utmost architectural capability, where complex applications may be efficiently divided between high-speed logic implementation and high-flexibility software implementations. for example, a packet processing application using only the fpga logic today for high-speed packet routing may be augmented to include a slave high-performance processor for exception handling or in-system statistics monitoring. in contrast, using a separate processor externally requires hundreds of additional interface pins, which degrades the system performance, significantly increases fpga i/o requirements, and overall board costs. the virtex-ii pro products are based on the most advanced fpga fabric available: the virtex-ii architecture with ip-immersion ? technology, which was developed for significant improvements in engineering productivity, silicon efficiency, and system flexibility. unique features common in the virtex-ii series ? consisting of the virtex-ii and virtex-ii pro families ? include powerful systemio ? system connectivity solutions, digitally controlled impedance (dci) technology, comprehensive clocking solutions, high- speed active interconnect ? routing architecture, and bitstream encryption. these features together constitute the most complete platform fpga solution available, optimized for high-performance system-level applications. the upward compatibility of the virtex series of products ensures benefits in engineering productivity, performance, design longevity, and continuing cost reduction. legacy of leadership each of the virtex families of fpgas has been the most successful programmable product family in its class, starting with the introduction of the original virtex family in 1998. the virtex and virtex-e families were recognized by the industry as the highest technology products available when they were first introduced. the virtex-ii family, which again achieved technology leadership in density, performance, and features, ushered in the era of platform fpgas ? programmable devices with the system-level capability and performance to implement systems functionality. the virtex-ii pro family continues the tradition of technology leadership as the most sophisticated platform fpga yet, again breaking the technology barrier for the benefit of leading-edge system architects. the virtex-ii pro family is the first fpga family to incorporate both serial transceiver technology and a hard processor core within a general-purpose fpga device. this is significant for new high-bandwidth embedded processing applications such as packet processing, where both high device i/o bandwidth and high performance processor cores are needed together. the virtex-ii pro devices are the industry?s first fpgas in a 0.13-micron process . the ibm nine-layer metal, all-copper, low-k process technology is among the most advanced in the semiconductor industry. the combination of advanced active interconnect ? architecture and advanced process technology makes the virtex-ii pro family the highest performance fpga in the world. the rocket i/o ? multi-gigabit transceiver (mgt), based on industry-leading skyrail ? technology, is the highest performance, most complete embedded serial transceiver available. it is user-configurable for up to 3.125 gb/s baud rate per channel, which is over twice the performance of other embedded transceivers at 1.25 gb/s. each rocket i/o block provides a complete set of common functionality available in standard serdes transceivers. in contrast, "programmable assp" products with clock/data recovery (cdr) provide only the most basic transceiver capability. the ibm powerpc 405 processor core used in the virtex-ii pro family is the highest performance embedded core available in fpgas. the powerpc architecture is used in
ug012 (v1.0) january 31, 2002 www.xilinx.com 13 virtex-ii pro platform fpga handbook 1-800-255-7778 r many markets including communications, industrial control, test and measurement systems, and other performance-oriented markets. it is currently the most popular processor architecture in embedded applications. packets everywhere the virtex-ii pro family provides a powerful new paradigm for network processing where low latency is required, such as storage area networks, wireless infrastructure, and voice-over-ip networks. the digital convergence phenomenon drives the need for packet routing based on type and priority. for example, live voice and video data packets require significantly lower latency than data file packets. new data networking applications must now handle higher bandwidth traffic as well as more complex types of prioritized packets. in many cases, virtex-ii pro devices can offer higher overall performance than other solutions, including specialized network processors (nps). using the virtex-ii pro architecture, the most common packets may be quickly read and routed using fpga logic, without incurring the lengthy software run-time needed by nps. the fpga logic interrupts the powerpc processor core only when processor instructions are needed for special packet types. for example, packets may be stored into a 16 kb dual-port memory area accessible by both the fpga logic and the powerpc 405 on-chip memory (ocm) port, allowing rapid change of control and packet disposition. by using the fpga logic to process the most common packet types while the processor core handles the more specialized ones as a slave to the logic, the virtex-ii pro architecture can provide higher overall performance than nps, as well as more sophisticated processing capabilities than fpga logic alone. bridge, anyone? powerful protocol bridges for tying together disparate data stream formats are well-suited for the virtex-ii pro solution. new interface standards and protocols include 3gio, infiniband, gigabit ethernet, xaui/10 gigabit ethernet, rapidio, and hypertransport. these must interface seamlessly to one another, as well as to other standards such as pci, fibre channel, pos phy level 4, flexbus 4, and others. this presents a significant challenge to system developers because of changing standards, scarcity of off-the-shelf interface components, and the inflexibility of available solutions. system designers have had to assemble their own blend of fpgas, discrete physical transceivers, and discrete communications processors to solve their complex system challenges. even newer "programmable assps" (application-specific standard products) with built-in serial transceivers fall short, because they frequently require companion fpgas to supplement their logic capacity. the virtex-ii pro solution, using the powerful xilinx systemio ? capability to fully integrate silicon, software, and ip capabilities, provides the most flexible pre-engineered protocol bridge solutions available for fast time-to-market and low development cost. simplifying complexity the virtex-ii pro solution offers a powerful paradigm for complex embedded systems found in signal processing, industrial control, image processing, networking, communications, and aeronautic applications. for the first time, complex embedded systems traditionally involving sophisticated hardware and software may be developed concurrently, emulated in actual hardware at speed, debugged in-system, and re-architected for performance within weeks, rather than months or years. in addition, full systems can be remotely upgraded as easily as software-only upgrades are performed today, using compact flash, cdrom, internet, wireless transmission, or other flexible means. hardware design is simplified using powerful development software and a large soft ip library to assemble logic- and processor-based platforms. software development
14 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook r may be started earlier using the actual device in preconfigured sample platforms, without waiting for the new system board to be developed. in many cases, higher density virtex-ii pro components may be used for early system development, whereby extra resources (including additional powerpc processor cores) may be used to easily emulate board-level components yet to be developed. this flexibility, obviously unavailable in custom asics or assps, allows systems to be emulated at speed, rather than simulated using software simulators at 100 or 1000 times slower. in-system debugging is further enhanced by the xilinx chipscope pro tool, which provides comprehensive logic analysis ? from probing internal nodes to full bus analysis with bus protocol adherence checks using an external logic analyzer via the ieee 1149.1 (jtag) test access port. using chipscope pro can result in orders of magnitude of improvement in engineering productivity. complex systems can be optimally repartitioned between fpga logic and processor cores, allowing a continuum of possible trade-offs between the speed of logic and the flexibility of software code. for example, a first implementation of an echo cancellation algorithm might be all-software in compiled c code running on a powerpc core, in order to allow the system software development to start. as the system is further optimized, part of the dsp algorithm could be retargeted using matlab simulink into fpga logic to achieve a significantly faster but functionally identical system for production release. in another example, an encryption application might implement the diffie-hellman key exchange algorithm, whereby exponentiation and message management could be optimally partitioned into fpga logic and an embedded processor, respectively. in this way, the programmable systems paradigm offers tremendous flexibility to allow system designers and architects to optimize the trade-offs in development time, system performance, and system costs. it is significant that the embedded systems enabled by virtex-ii pro solutions are "all-soft," in that both logic and software code are controlled by a soft data file. because of this, the low cost of design maintenance and degree of design reuse is greatly enhanced. whole system upgrades, including both hardware and software, can now be accomplished with one unified soft file using system ace ? configuration solutions, offering the same low cost and ease of use as software-only upgrades. time is money the virtex-ii series, comprising both the virtex-ii and virtex-ii pro families, offers significantly faster time-to-market and lower development costs than asics. compared to a full-custom asic, the virtex-ii pro solution eliminates the need for exhaustive verification during development, and allows hardware-software debug at system speeds rather than slow software simulation speeds. in addition, the virtex-ii pro features of signal integrity, pre-engineered clocking capabilities, and an abundance of soft ip cores, significantly reduce development time. the virtex-ii series offers significantly lower development costs than asics, due to lower tool costs, lower third-party ip costs, and absence of nre costs. the virtex-ii series also increases engineering productivity by accelerating hardware availability for software development and increasing software debug speed. in addition, the availability of powerful development tools enables straightforward retargeting of other embedded processors into the powerpc platform. compared to other processor architectures, the powerpc 405 core in most cases allows higher performance and more powerful capabilities, and thus can be used to accelerate preproduction of performance-sensitive applications.
ug012 (v1.0) january 31, 2002 www.xilinx.com 15 virtex-ii pro platform fpga handbook 1-800-255-7778 r flexibility is money the flexibility inherent in the virtex-ii series allows system architects to fine-tune their architectural partitioning after the initial prototype is developed. that is, each subsystem function can be freely implemented as hardware only, software only, or any combination within the hardware-software continuum, depending on the trade-off between performance and complexity. for example, a wireless infrastructure system might initially implement a rake filter function in hardware, and then change to a firmware implementation as more software control is necessary during later development. this repartitioning would be impossible in custom asics without significant time and cost penalties. the virtex-ii series offers significantly more flexibility than fixed chip sets and assps, allowing end user product differentiation and future proofing. for a design requirement that can generally be met either by assps or by virtex-ii platform fpgas, the initial design investment for an fpga implementation may be higher. however, the advantages for platform fpga implementations include customizing of functionality, ease of design reuse, ability to fix design bugs, differentiation of user end products, and ownership and control of the entire system. these are important advantages in highly competitive markets where assps have standing errata lists and unpredictable future availability. in contrast, properly developed platform fpga designs are soft designs that may be readily maintained and reused as needed. therefore, fpga methodologies can provide system manufacturers with greater competitive advantage in the short term, and greater ownership and control over their products in the long term. not being discrete many high bandwidth systems today use large fpgas together with discrete serdes transceivers, discrete communications processors, or other discrete components. the virtex-ii pro family can incorporate many of these components for time-to-market, performance, and even system cost benefits. multi-chip solutions using fpgas typically require over a hundred i/o pins to interface to each discrete quad 3.125 gb/s serdes transceiver or discrete microprocessor. the result is increased pcb complexity necessary to accommodate hundreds of traces, reduced system performance due to on-chip/off-chip connections, and higher overall system costs. in some cases, the increased fpga pin-count requirement may force a higher-density fpga to be used, increasing the overall cost. in these cases, the virtex-ii pro devices can integrate the discrete components to achieve faster system development, higher system performance, and lower costs.
16 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook r
ug012 (v1.0) january 31, 2002 www.xilinx.com 17 virtex-ii pro platform fpga handbook 1-800-255-7778 r part i: virtex-ii pro data sheet this section contains the virtex-ii pro advance product specification (ds083). the latest version of this information is available online ( at www.xilinx.com/apps/virtexapp.htm ).
18 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook r
? 2002 xilinx, inc. all rights reserved. all xilinx trademarks, registered trademarks, patents, and disclaimers are as listed a t http://www.xilinx.com/legal.htm . all other trademarks and registered trademarks are the property of their respective owners. all specifications are subject to c hange without notice. ds083-1 (v1.0) january 31, 2002 www.xilinx.com 19 advance product specification 1-800-255-7778 summary of virtex-ii pro features  high-performance platform fpga solution including - up to sixteen rocket i/o ? embedded multi-gigabit transceiver blocks (based on mindspeed's skyrail ? technology) - up to four ibm ? powerpc ? risc processor blocks  based on virtex ? -ii platform fpga technology - flexible logic resources - sram-based in-system configuration - active interconnect ? technology -selectram ? memory hierarchy - dedicated 18-bit x 18-bit multiplier blocks - high-performance clock management circuitry - selecti/o ? -ultra technology - digitally controlled impedance (dci) i/o the members and resources of the virtex-ii pro family are shown in ta bl e 1 . rocket i/o features  full-duplex serial transceiver (serdes) capable of baud rates from 622 mb/s to 3.125 gb/s  80 gb/s duplex data rate (16 channels)  monolithic clock synthesis and clock recovery (cdr)  fibre channel, gigabit ethernet, 10 gb attachment unit interface (xaui), and infiniband-compliant transceivers  8-, 16-, or 32-bit selectable internal fpga interface  8b /10b encoder and decoder  50 ? /75 ? on-chip selectable transmit and receive terminations  programmable comma detection  channel bonding support (two to sixteen channels)  rate matching via insertion/deletion characters  four levels of selectable pre-emphasis  five levels of output differential voltage  per-channel internal loopback modes  2.5v transceiver supply voltage powerpc risc core features  embedded 300+ mhz harvard architecture core  low power consumption: 0.9 mw/mhz  five-stage data path pipeline  hardware multiply/divide unit  thirty-two 32-bit general purpose registers  16 kb two-way set-associative instruction cache  16 kb two-way set-associative data cache  memory management unit (mmu) - 64-entry unified translation look-aside buffers (tlb) - variable page sizes (1 kb to 16 mb)  dedicated on-chip memory (ocm) interface  supports ibm coreconnect ? bus architecture  debug and trace support  timer facilities 0 virtex-ii pro platform fpgas: introduction and overview ds083-1 (v1.0) january 31, 2002 00 advance product specification r table 1: virtex-ii pro fpga family members device rocket i/o transceiver blocks powerpc processor blocks clb (1 clb = 4 slices = max 128 bits) 18 x 18 bit multiplier blocks block selectram dcms max i/o pads array row x col slices maximum distributed ram (kb) 18 kb blocks max block ram (kb) xc2vp2 4 0 16 x 22 1,408 44 12 12 216 4 204 xc2vp4 4 1 40 x 22 3,008 94 28 28 504 4 348 xc2vp7 8 1 40 x 34 4,928 154 44 44 792 4 396 xc2vp20 8 2 56 x 46 9,280 290 88 88 1,584 8 564 xc2vp50 16 4 88 x 70 22,592 706 216 216 3,888 8 852
general description r 20 www.xilinx.com ds083-1 (v1.0) january 31, 2002 1-800-255-7778 advance product specification virtex-ii pro platform fpga technology  selectram memory hierarchy - up to 4 mb of true dual-port ram in 18 kb block selectram resources - up to 706 kb of distributed selectram resources - high-performance interfaces to external memory  arithmetic functions - dedicated 18-bit x 18-bit multiplier blocks - fast look-ahead carry logic chains  flexible logic resources - up to 45,184 internal registers/latches with clock enable - up to 45,184 look-up tables (luts) or cascadable variable (1 to 16 bits) shift registers - wide multiplexers and wide-input function support - horizontal cascade chain and sum-of-products support - internal 3-state busing  high-performance clock management circuitry - up to eight digital clock manager (dcm) modules precise clock de-skew flexible frequency synthesis high-resolution phase shifting - 16 global clock multiplexer buffers in all parts  active interconnect technology - fourth-generation segmented routing structure - fast, predictable routing delay, independent of fanout - deep sub-micron noise immunity benefits  selecti/o-ultra technology - up to 852 user i/os - twenty two single-ended standards and five differential standards - programmable lvttl and lvcmos sink/source current (2 ma to 24 ma) per i/o - digitally controlled impedance (dci) i/o: on-chip termination resistors for single-ended i/o standards - pci support (1) - differential signaling 840 mb/s low-voltage differential signaling i/o (lvds) with current mode drivers bus lvds i/o hypertransport (ldt) i/o with current driver buffers built-in ddr input and output registers - proprietary high-performance selectlink technology for communications between xilinx devices high-bandwidth data path double data rate (ddr) link web-based hdl generation methodology  sram-based in-system configuration -fast selectmap ? configuration - triple data encryption standard (des) security option (bitstream encryption) - ieee1532 support - partial reconfiguration - unlimited reprogrammability - readback capability  supported by xilinx foundation ? and alliance ? series development systems - integrated vhdl and verilog design flows - chipscope ? integrated logic analyzer  0.13-m, nine-layer copper process with 90 nm high-speed transistors  1.5v (v ccint ) core power supply, dedicated 2.5v v ccaux auxiliary and v cco i/o power supplies  ieee 1149.1 compatible boundary-scan logic support  flip-chip and wire-bond ball grid array (bga) packages in standard 1.00 mm pitch  each device 100% factory tested general description the virtex-ii pro family is a platform fpga for designs that are based on ip cores and customized modules. the family incorporates multi-gigabit transceivers and powerpc cpu cores in virtex-ii pro series fpga architecture. it empow- ers complete solutions for telecommunication, wireless, net- working, video, and dsp applications. the leading-edge 0.13m cmos nine-layer copper process and the virtex-ii pro architecture are optimized for high per- formance designs in a wide range of densities. combining a wide variety of flexible features and ip cores, the virtex-ii pro family enhances programmable logic design capabilities and is a powerful alternative to mask-pro- grammed gate arrays. 1. pci supported in some banks only.
virtex-ii pro platform fpgas: introduction and overview r ds083-1 (v1.0) january 31, 2002 www.xilinx.com 21 advance product specification 1-800-255-7778 architecture virtex-ii pro array overview virtex-ii pro devices are user-programmable gate arrays with various configurable elements and embedded cores optimized for high-density and high-performance system designs. virtex-ii pro devices implement the following func- tionality:  embedded high-speed serial transceivers enable data bit rate up to 3.125 gb/s per channel.  embedded ibm powerpc 405 risc cpu cores provide performance of 300+ mhz.  selecti/o-ultra blocks provide the interface between package pins and the internal configurable logic. most popular and leading-edge i/o standards are supported by the programmable iobs.  configurable logic blocks (clbs) provide functional elements for combinatorial and synchronous logic, including basic storage elements. bufts (3-state buffers) associated with each clb element drive dedicated segmentable horizontal routing resources.  block selectram memory modules provide large 18 kb storage elements of true dual-port ram.  embedded multiplier blocks are 18-bit x 18-bit dedicated multipliers.  digital clock manager (dcm) blocks provide self-calibrating, fully digital solutions for clock distribution delay compensation, clock multiplication and division, and coarse- and fine-grained clock phase shifting. a new generation of programmable routing resources called active interconnect technology interconnects all of these elements. the general routing matrix (grm) is an array of routing switches. each programmable element is tied to a switch matrix, allowing multiple connections to the general routing matrix. the overall programmable interconnection is hierarchical and designed to support high-speed designs. all programmable elements, including the routing resources, are controlled by values stored in static memory cells. these values are loaded in the memory cells during configuration and can be reloaded to change the functions of the programmable elements. virtex-ii pro features this section briefly describes virtex-ii pro features. rocket i/o multi-gigabit transceiver cores the rocket i/o multi-gigabit transceiver core, based on mindspeed ? s skyrail technology, is a flexible paral- lel-to-serial and serial-to-parallel transceiver embedded core used for high-bandwidth interconnection between buses, backplanes, or other subsystems. multiple user instantiations in an fpga are possible, provid- ing up to 80 gb/s of full-duplex raw data transfer. each channel can be operated at a maximum data transfer rate of 3.125 gb/s. each rocket i/o core implements the following functional- ity:  serializer and deserializer (serdes)  monolithic clock synthesis and clock recovery (cdr)  fibre channel, gigabit ethernet, xaui, and infiniband compliant transceivers  8-, 16-, or 32-bit selectable fpga interface  8b/10b encoder and decoder with bypassing option on each channel  channel bonding support (two to sixteen channels) - elastic buffers for inter-chip deskewing and channel-to-channel alignment  receiver clock recovery tolerance of up to 75 non-transitioning bits  50 ? /75 ? on-chip selectable tx and rx terminations  programmable comma detection  rate matching via insertion/deletion characters  automatic lock-to-reference function  optional tx and rx data inversion  four levels of pre-emphasis support  per-channel serial and parallel transmitter-to-receiver internal loopback modes  cyclic redundancy check (crc) support powerpc 405 processor block the ppc405 risc cpu can execute instructions at a sus- tained rate of one instruction per cycle. on-chip instruction and data cache reduce design complexity and improve sys- tem throughput. the ppc405 features include:  powerpc risc cpu - implements the powerpc user instruction set architecture (uisa) and extensions for embedded applications - thirty-two 32-bit general purpose registers (gprs) - static branch prediction - five-stage pipeline with single-cycle execution of most instructions, including loads/stores - unaligned and aligned load/store support to cache, main memory, and on-chip memory - hardware multiply/divide for faster integer arithmetic (4-cycle multiply, 35-cycle divide) - enhanced string and multiple-word handling - big/little endian operation support  storage control - separate instruction and data cache units, both two-way set-associative and non-blocking - eight words (32 bytes) per cache line - 16 kb array instruction cache unit (icu), 16 kb array data cache unit (dcu)
architecture r 22 www.xilinx.com ds083-1 (v1.0) january 31, 2002 1-800-255-7778 advance product specification - operand forwarding during instruction cache line fill - copy-back or write-through dcu strategy - doubleword instruction fetch from cache improves branch latency  virtual mode memory management unit (mmu) - translation of the 4 gb logical address space into physical addresses - software control of page replacement strategy - supports multiple simultaneous page sizes ranging from 1 kb to 16 mb  ocm controllers provide dedicated interfaces between block selectram memory and processor core instruction and data paths for high-speed access  powerpc timer facilities - 64-bit time base - programmable interval timer (pit) - fixed interval timer (fit) - watchdog timer (wdt)  debug support - internal debug mode - external debug mode - debug wait mode - real time trace debug mode - enhanced debug support with logical operators - instruction trace and trace-back support - forward or backward trace  two hardware interrupt levels support  advanced power management support input/output blocks (iobs) iobs are programmable and can be categorized as follows:  input block with an optional single data rate (sdr) or double data rate (ddr) register  output block with an optional sdr or ddr register and an optional 3-state buffer to be driven directly or through an sdr or ddr register  bidirectional block (any combination of input and output configurations) these registers are either edge-triggered d-type flip-flops or level-sensitive latches. iobs support the following single-ended i/o standards:  lvttl  lvcmos (3.3v, 2.5v, 1.8v, and 1.5v)  pci (33 and 66 mhz)  gtl and gtlp  hstl 1.5v and 1.8v (class i, ii, iii, and iv)  sstl (3.3v and 2.5v, class i and ii) the dci i/o feature automatically provides on-chip termina- tion for each single-ended i/o standard. the iob elements also support the following differential sig- naling i/o standards:  lvds and extended lvds (2.5v only)  blvds (bus lvds)  ulvds  ldt two adjacent pads are used for each differential pair. two or four iob blocks connect to one switch matrix to access the routing resources. configurable logic blocks (clbs) clb resources include four slices and two 3-state buffers. each slice is equivalent and contains:  two function generators (f & g)  two storage elements  arithmetic logic gates  large multiplexers  wide function capability  fast carry look-ahead chain  horizontal cascade chain (or gate) the function generators f & g are configurable as 4-input look-up tables (luts), as 16-bit shift registers, or as 16-bit distributed selectram memory. in addition, the two storage elements are either edge-triggered d-type flip-flops or level-sensitive latches. each clb has internal fast interconnect and connects to a switch matrix to access general routing resources. block selectram memory the block selectram memory resources are 18 kb of true dual-port ram, programmable from 16k x 1 bit to 512 x 36 bit, in various depth and width configurations. each port is totally synchronous and independent, offering three "read-during-write" modes. block selectram memory is cascadable to implement large embedded storage blocks. supported memory configurations for dual-port and sin- gle-port modes are shown in ta b l e 2 . 18 x 18 bit multipliers a multiplier block is associated with each selectram mem- ory block. the multiplier block is a dedicated 18 x 18-bit 2s complement signed multiplier, and is optimized for opera- tions based on the block selectram content on one port. the 18 x 18 multiplier can be used independently of the block selectram resource. read/multiply/accumulate oper- ations and dsp filter structures are extremely efficient. both the selectram memory and the multiplier resource are connected to four switch matrices to access the general routing resources. ta b l e 2 : dual-port and single-port configurations 16k x 1 bit 4k x 4 bits 1k x 18 bits 8k x 2 bits 2k x 9 bits 512 x 36 bits
virtex-ii pro platform fpgas: introduction and overview r ds083-1 (v1.0) january 31, 2002 www.xilinx.com 23 advance product specification 1-800-255-7778 global clocking the dcm and global clock multiplexer buffers provide a complete solution for designing high-speed clock schemes. up to eight dcm blocks are available. to generate deskewed internal or external clocks, each dcm can be used to eliminate clock distribution delay. the dcm also provides 90-, 180-, and 270-degree phase-shifted versions of its output clocks. fine-grained phase shifting offers high-resolution phase adjustments in increments of 1 / 256 of the clock period. very flexible frequency synthesis provides a clock output frequency equal to a fractional or integer mul- tiple of the input clock frequency. for exact timing parame- ters, see virtex-ii pro platform fpgas: dc and switching characteristics . virtex-ii pro devices have 16 global clock mux buffers, with up to eight clock nets per quadrant. each clock mux buffer can select one of the two clock inputs and switch glitch-free from one clock to the other. each dcm can send up to four of its clock outputs to global clock buffers on the same edge. any global clock pin can drive any dcm on the same edge. routing resources the iob, clb, block selectram, multiplier, and dcm ele- ments all use the same interconnect scheme and the same access to the global routing matrix. timing models are shared, greatly improving the predictability of the perfor- mance of high-speed designs. there are a total of 16 global clock lines, with eight available per quadrant. in addition, 24 vertical and horizontal long lines per row or column, as well as massive secondary and local routing resources, provide fast interconnect. virtex-ii pro buffered interconnects are relatively unaffected by net fanout, and the interconnect layout is designed to minimize crosstalk. horizontal and vertical routing resources for each row or column include:  24 long lines  120 hex lines  40 double lines  16 direct connect lines (total in all four directions) boundary scan boundary-scan instructions and associated data registers support a standard methodology for accessing and config- uring virtex-ii pro devices, complying with ieee standards 1149.1 and 1532. a system mode and a test mode are implemented. in system mode, a virtex-ii pro device will continue to function while executing non-test bound- ary-scan instructions. in test mode, boundary-scan test instructions control the i/o pins for testing purposes. the virtex-ii pro test access port (tap) supports bypass, preload, sample, idcode, and usercode non-test instructions. the extest, intest, and highz test instruc- tions are also supported. configuration virtex-ii pro devices are configured by loading the bitstream into internal configuration memory using one of the follow- ing modes:  slave-serial mode  master-serial mode  slave selectmap mode  master selectmap mode  boundary-scan mode (ieee 1532) a data encryption standard (des) decryptor is available on-chip to secure the bitstreams. one or two triple-des key sets can be used to optionally encrypt the configuration data. the xilinx system advanced configuration enviornment (system ace) family offers high-capacity and flexible solu- tion for fpga configuration as well as program/data storage for the processor. see ds080 , system ace compact- flash solution for more information. readback and integrated logic analyzer configuration data stored in virtex-ii pro configuration memory can be read back for verification. along with the configuration data, the contents of all flip-flops/latches, dis- tributed selectram, and block selectram memory resources can be read back. this capability is useful for real-time debugging. the xilinx chipscope integrated logic analyzer (ila) cores and integrated bus analyzer (iba) cores, along with the chipscope pro analyzer software, provide a complete solu- tion for accessing and verifying user designs within virtex-ii pro devices.
ip core and reference support r 24 www.xilinx.com ds083-1 (v1.0) january 31, 2002 1-800-255-7778 advance product specification ip core and reference support intellectual property is part of the platform fpga solution. in addition to the existing fpga fabric cores, the list below shows some of the currently available hardware and soft- ware intellectual properties specially developed for virtex-ii pro by xilinx. each ip core is modular, portable, real-time operating system (rtos) independent, and coreconnect compatible for ease of design migration. refer to www.xilinx.com for the latest and most complete list of cores. hardware cores  bus infrastructure cores (arbiters, bridges, and more)  memory cores (flash, sram, and more)  peripheral cores (uart, iic, and more)  networking cores (atm, ethernet, and more) software cores  boot code  test code  device drivers  protocol stacks  rtos integration  customized board support package virtex-ii pro device/package combinations and maximum i/os offerings include ball grid array (bga) packages with 1.0 mm pitch. in addition to traditional wire-bond intercon- nects, flip-chip interconnect is used in some of the bga offerings. the use of flip-chip interconnect offers more i/os than are possible in wire-bond versions of the similar pack- ages. flip-chip construction offers the combination of high pin count and excellent power dissipation. the virtex-ii pro device/package combination table ( ta b l e 3 ) details the maximum number of i/os for each device and package using wire-bond or flip-chip technology.  fg denotes wire-bond fine-pitch bga (1.00 mm pitch).  ff denotes flip-chip fine-pitch bga (1.00 mm pitch).  bf denotes flip-chip fine-ptich bga (1.27 mm pitch). table 3: virtex-ii pro device/package combinations and maximum number of available i/os (advance information) package pitch (mm) size (mm) user available i/os xc2vp2 xc2vp4 xc2vp7 xc2vp20 xc2vp50 fg256 1.00 17 x 17 140 140 fg456 1.00 23 x 23 156 248 248 ff672 1.00 27 x 27 204 348 396 ff896 1.00 31 x 31 396 556 ff1152 1.00 35 x 35 564 692 ff1517 1.00 40 x 40 852 bf957 1.27 40 x 40 564 584
virtex-ii pro platform fpgas: introduction and overview r ds083-1 (v1.0) january 31, 2002 www.xilinx.com 25 advance product specification 1-800-255-7778 virtex-ii pro ordering information virtex-ii pro ordering information is shown in figure 1 . note: maximum serial transceiver baud rates for flipchip and wirebond packages are 3.125 gb/s and 2.5 gb/s respectively. revision history this section records the change history for this module of the data sheet. virtex-ii pro data sheet modules the virtex-ii pro data sheet contains the following modules:  virtex-ii pro platform fpgas: introduction and overview (module 1)  virtex-ii pro platform fpgas: functional description (module 2)  virtex-ii pro platform fpgas: dc and switching characteristics (module 3) ? virtex-ii pro platform fpgas: pinout information (module 4) figure 1: virtex-ii pro ordering information date version revision 01/31/02 1.0 initial xilinx release. example: xc2vp7-7fg456c device type temperature range: c = commercial (tj = 0?c to +85?c) i = industrial (tj = -40?c to +100?c) number of pins package type speed grade (-6, -7, -8) ds083_02_102301
virtex-ii pro data sheet modules r 26 www.xilinx.com ds083-1 (v1.0) january 31, 2002 1-800-255-7778 advance product specification
? 2002 xilinx, inc. all rights reserved. all xilinx trademarks, registered trademarks, patents, and disclaimers are as listed a t http://www.xilinx.com/legal.htm . all other trademarks and registered trademarks are the property of their respective owners. all specifications are subject to c hange without notice. ds083-2 (v1.0) january 31, 2002 www.xilinx.com 27 advance product specification 1-800-255-7778 virtex-ii pro array functional description this module describes the following virtex ? -ii pro func- tional components, as shown in figure 1 :  embedded rocket i/o ? multi-gigabit transceivers (mgts)  processor blocks containing embedded ibm ? powerpc ? 405 risc cpu (ppc405) cores and integration circuitry.  fpga fabric based on virtex-ii architecture. for a detailed description of the ppc405 core programming models and internal core operations, refer to the powerpc 405 user manual and the processor block manual . for detailed rocket i/o digital and analog design consider- ations, refer to the rocket i/o user guide . all of the documents above, as well as a complete listing and description of xilinx-developed intellectual property cores for virtex-ii pro, are available on the xilinx website at www.xilinx.com/virtex2pro . virtex-ii pro compared to virtex-ii devices virtex-ii pro is built on the virtex-ii fpga architecture. most fpga features are identical to virtex-ii. the differences are described below:  virtex-ii pro is the first fpga family incorporating embedded ppc405 cores and rocket i/o mgts.  v ccaux , the auxiliary supply voltage, is 2.5v instead of 3.3v as for virtex-ii devices. advanced processing at 0.13 m has resulted in a smaller die, faster speed, and lower power consumption.  the virtex-ii pro family is neither bitstream-compatible nor pin-compatible with the virtex-ii family. however, virtex-ii designs can be compiled into virtex-ii pro devices.  all banks support 2.5v (and below) i/o standards. 3.3v i/o standards including pci are supported in certain banks only. (see table 4-1, page 448 .) lvpecl, lvds_33, lvdsext_33, lvdci_dv2_33, and agp-2x are not supported. functional description: rocket i/o multi-gigabit transceiver (mgt) this section summarizes the features of the rocket i/o multi-gigabit transceiver. for an in-depth discussion of the rocket i/o mgt, refer to the rocket i/o user guide . overview the embedded rocket i/o multi-gigabit transceiver core is based on mindspeed ? s skyrail ? technology. up to sixteen transceiver cores are available. the transceiver core is designed to operate at any baud rate in the range of 622 mb/s to 3.125 gb/s per channel. this includes specific baud rates used by various standards as listed in ta b l e 1 . . 0 virtex-ii pro platform fpgas: functional description ds083-2 (v1.0) january 31, 2002 00 advance product specification r figure 1: virtex-ii pro generic architecture overview clb multipliers and block selectram processor block configurable logic selecti/o -ultra ds083-1_01_010802 dcm rocket i/o multi-gigabit transceiver clb clb clb ta b l e 1 : standards supported by the rocket i/o mgt mode channels (lanes) i/o baud rate (gb/s) internal clock rate (refclk) (mhz) fibre channel 1 1.06 53 2.12 106 gbit ethernet 1 1.25 62.5 xaui 4 3.125 156.25 infiniband 1, 4, 12 2.5 125 aurora (xilinx) 1, 2, 3, 4, ... 0.840 - 3.125 42.00-156.25 custom mode 1, 2, 3, 4, ... up to 3.125 up to 156.25
functional description: rocket i/o multi-gigabit transceiver (mgt) r 28 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification the serial bit rate need not be configured in the transceiver, as the operating frequency is implied by the received data and reference clock applied. the rocket i/o transceiver core consists of the physical media attachment (pma) and physical coding sublayer (pcs). the pma contains the serializer and deserializer. the pcs contains the bypassable 8b/10b encoder/ decoder, elastic buffers, and cyclic redundancy check (crc) units. the encoder and decoder handle the 8b/10b coding scheme. the elastic buffers support the clock cor- rection (rate matching) and channel bonding features. the crc units perform crc generation and checking. figure 2 shows the rocket i/o high-level block diagram and fpga interface signals. figure 2: rocket i/o block diagram fpga fabric multi-gigabit transceiver core serializer rxp txp clock manager power down package pins deserializer comma detect realign 8b/10b decoder tx fifo crc check crc channel bonding and clock correction chbondi[3:0] chbondo[3:0] 8b/10b encoder rx elastic buffer output polarity rxn gnda txn ds083-2_04_010202 powerdown rxrecclk rxpolarity rxrealign rxcommadet rxreset rxclkcorcnt rxlossofsync rxdata[15:0] rxdata[31:16] rxcheckingcrc rxcrcerr rxnotintable[3:0] rxdisperr[3:0] rxcharisk[3:0] rxchariscomma[3:0] rxrundisp[3:0] rxbufstatus[1:0] enchansync rxusrclk rxusrclk2 chbonddone txbuferr txdata[15:0] txdata[31:16] txbypass8b10b[3:0] txcharisk[3:0] txchardispmode[3:0] txchardispval[3:0] txkerr[3:0] txrundisp[3:0] txpolarity txforcecrcerr txinhibit loopback[1:0] txreset refclk refclk2 refclksel enpcommaalign enmcommaalign txusrclk txusrclk2 vtrx avccauxrx vttx avccauxtx 2.5v rx tx/rx gnd termination supply rx 2.5v tx termination supply tx serial loopback path parallel loopback path
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 29 advance product specification 1-800-255-7778 clock synthesizer synchronous serial data reception is facilitated by a clock/data recovery circuit. this circuit uses a fully mono- lithic phase lock loop (pll), which does not require any external components. the clock/data recovery circuit extracts both phase and frequency from the incoming data stream. the recovered clock is presented on output rxrecclk at 1/20 of the serial received data rate. the gigabit transceiver multiplies the reference frequency provided on the reference clock input (refclk) by 20. the multiplication of the clock is achieved by using a fully mono- lithic pll that does not require any external components. no fixed phase relationship is assumed between refclk, rxrecclk, and/or any other clock that is not tied to either of these clocks. when the 4-byte or 1-byte receiver data path is used, rxusrclk and rxusrclk2 have different frequencies, and each edge of the slower clock is aligned to a falling edge of the faster clock. the same relationships apply to txusrclk and txusrclk2. clock and data recovery the clock/data recovery (cdr) circuits will lock to the refer- ence clock automatically if the data is not present. for proper operation, the frequency of the reference clock must be within 100 ppm of the nominal frequency. it is critical to keep power supply noise low in order to mini- mize common and differential noise modes into the clock/data recovery circuitry. refer to the rocket i/o user guide for more details. transmitter fpga transmit interface the fpga can send either one, two, or four characters of data to the transmitter. each character can be either 8 bits or 10 bits wide. if 8-bit data is applied, the additional inputs become control signals for the 8b/10b encoder. when the 8b/10b encoder is bypassed, the 10-bit character order is generated as follows: txchardispmode[0] (first bit transmitted) txchardispval[0] txdata[7:0] (last bit transmitted is txdata[0]) 8b/10b encoder a bypassable 8b/10b encoder is included. the encoder uses the same 256 data characters and 12 control charac- ters that are used for gigabit ethernet, fibre channel, and infiniband. the encoder accepts 8 bits of data along with a k-character signal for a total of 9 bits per character applied, and generates a 10 bit character for transmission. if the k-character signal is high, the data is encoded into one of the twelve possible k-characters available in the 8b/10b code. if the k-character input is low, the 8 bits are encoded as standard data. if the k-character input is high, and a user applies other than one of the twelve possible combinations, txkerr indicates the error. disparity control the 8b/10b encoder is initialized with a negative running disparity. unique control allows forcing the current running disparity state. txrundisp signals its current running disparity. this may be useful in those cases where there is a need to manipu- late the initial running disparity value. bits txchardispmode and txchardispval control the generation of running disparity before each byte. for example, the transceiver can generate the sequence k28.5+ k28.5+ k28.5 ? k28.5 ? or k28.5 ? k28.5 ? k28.5+ k28.5+ by specifying inverted running disparity for the second and fourth bytes. transmit fifo proper operation of the circuit is only possible if the fpga clock (txusrclk) is frequency-locked to the reference clock (refclk). phase variations up to one clock cycle are allowable. the fifo has a depth of four. overflow or under- flow conditions are detected and signaled at the interface. bypassing of this fifo is programmable. serializer the multi-gigabit transceiver multiplies the reference fre- quency provided on the reference clock input (refclk) by 20. clock multiplication is achieved by using a fully mono- lithic pll requiring no external components. data is con- verted from parallel to serial format and transmitted on the txp and txn differential outputs. bit 0 is transmitted first and bit 19 is transmitted last. the electrical connection of txp and txn can be inter- changed through configuration. this option can be con- trolled by an input (txpolarity) at the fpga transmitter interface. this facilitates recovery from situations where printed circuit board traces have been reversed. transmit termination on-chip termination is provided at the transmitter, eliminat- ing the need for external termination. programmable options exist for 50 ? (default) and 75 ? termination. pre-emphasis circuit and swing control four selectable levels of pre-emphasis (10% [default], 20%, 25%, and 33%) are available. optimizing this setting allows the transceiver to drive up to 20 inches of fr4 at the maxi- mum baud rate. the programmable output swing control can adjust the dif- ferential output level between 400 mv and 800 mv in four increments of 100 mv.
functional description: rocket i/o multi-gigabit transceiver (mgt) r 30 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification receiver deserializer the rocket i/o transceiver core accepts serial differential data on its rxp and rxn inputs. the clock/data recovery circuit extracts the clock and retimes incoming data to this clock. it uses a fully monolithic pll requiring no external components. the clock/data recovery circuitry extracts both phase and frequency from the incoming data stream. the recovered clock is presented on output rxrecclk at 1/20 of the received serial data rate. the receiver is capable of handling either transition-rich 8b/10b streams or scrambled streams, and can withstand a string of up to 75 non-transitioning bits without an error. word alignment is dependent on the state of comma detect bits. if comma detect is enabled, the transceiver will recog- nize up to two 10-bit preprogrammed characters. upon detection of the character or characters, the comma detect output is driven high and the data is synchronously aligned. if a comma is detected and the data is aligned, no further alignment alteration will take place. if a comma is received and realignment is necessary, the data is realigned and an indication is given at the receiver interface. the realignment indicator is a distinct output. the transceiver will continu- ously monitor the data for the presence of the 10-bit charac- ter(s). upon each occurrence of the 10-bit character, the data is checked for word alignment. if comma detect is dis- abled, the data will not be aligned to any particular pattern. the programmable option allows a user to align data on comma+, comma ? , both, or a unique user-defined and pro- grammed sequence. the receiver can be configured to reverse the rxp and rxn inputs. this can be useful in the event that printed cir- cuit board traces have been reversed. receiver termination on-chip termination is provided at the receiver, eliminating the need for external termination. the receiver includes pro- grammable on-chip termination circuitry for 50 ? (default) or 75 ? impedance. 8b/10b decoder an optional 8b/10b decoder is included. a programmable option allows the decoder to be bypassed. when the 8b/10b decoder is bypassed, the 10-bit character order is, for example, rxcharisk[0] (first bit received) rxrundisp[0] rxdata[7:0] (last bit received is rxdata[0]) the decoder uses the same table that is used for gigabit ethernet, fibre channel, and infiniband. in addition to decoding all data and k-characters, the decoder has sev- eral extra features. the decoder separately detects both ? disparity errors ? and ? out-of-band ? errors. a disparity error is the reception of 10-bit character that exists within the 8b/10b table but has an incorrect disparity. an out-of-band error is the reception of a 10-bit character that does not exist within the 8b/10b table. it is possible to obtain an out-of-band error without having a disparity error. the proper disparity is always computed for both legal and ille- gal characters. the current running disparity is available at the rxrundisp signal. the 8b/10b decoder performs a unique operation if out-of-band data is detected. if out-of-band data is detected, the decoder signals the error and passes the ille- gal 10-bits through and places them on the outputs. this can be used for debugging purposes if desired. the decoder also signals the reception of one of the 12 valid k-characters. in addition, a programmable comma detect is included. the comma detect signal registers a comma on the receipt of any comma+, comma ? , or both. since the comma is defined as a 7-bit character, this includes several out-of-band characters. another option allows the decoder to detect only the three defined commas (k28.1, k28.5, and k28.7) as comma+, comma ? , or both. in total, there are six possible options, three for valid commas and three for "any comma." it should be noted that all bytes (1, 2, or 4) at the rx fpga interface will each have their own individual 8b/10b indica- tors (k-character, disparity error, out-of-band error, current running disparity, and comma detect). loopback in order to facilitate testing without having the need to either apply patterns or measure data at ghz rates, two program- mable loop-back features are available. one option, serial loopback, places the gigabit transceiver into a state where transmit data is directly fed back to the receiver. an important point to note is that the feedback path is at the output pads of the transmitter. this tests the entirety of the transmitter and receiver. the second loopback path is a parallel path that checks the digital circuitry. when the parallel option is enabled, the serial loopback path is disabled. however, the transmitter outputs remain active and data is transmitted over a link. if txinhibit is asserted, txp is forced to 0 until txinhibit is de-asserted. elastic and transmitter buffers both the transmitter and the receiver include buffers (fifos) in the datapath. this section gives the reasons for including the buffers and outlines their operation. receiver buffer the receiver buffer is required for two reasons:  clock corection to accommodate the slight difference in frequency between the recovered clock rxrecclk and the internal fpga user clock rxusrclk  channel bonding to allow realignment of the input
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 31 advance product specification 1-800-255-7778 stream to ensure proper alignment of data being read through multiple transceivers the receiver uses an elastic buffer , where "elastic" refers to the ability to modify the read pointer for clock correction and channel bonding. clock correction clock rxrecclk (the recovered clock) reflects the data rate of the incoming data. clock rxusrclk defines the rate at which the fpga fabric consumes the data. ideally, these rates are identical. however, since the clocks typically have different sources, one of the clocks will be faster than the other. the receiver buffer accommodates this difference between the clock rates. see figure 3 . nominally, the buffer is always half full. this is shown in the top buffer, figure 3 , where the shaded area represents buff- ered data not yet read. received data is inserted via the write pointer under control of rxrecclk. the fpga fabric reads data via the read pointer under control of rxusr- clk. the half full/half empty condition of the buffer gives a cushion for the differing clock rates. this operation contin- ues indefinitely, regardless of whether or not "meaningful" data is being received. when there is no meaningful data to be received, the incoming data will consist of idle charac- ters or other padding. if rxusrclk is faster than rxrecclk, the buffer becomes more empty over time. the clock correction logic corrects for this by decrementing the read pointer to reread a repeatable byte sequence. this is shown in the middle buffer, figure 3 , where the solid read pointer decrements to the value represented by the dashed pointer. by decrement- ing the read pointer instead of incrementing it in the usual fashion, the buffer is partially refilled. the transceiver design will repeat a single repeatable byte sequence when neces- sary to refill a buffer. if the byte sequence length is greater than one, and if attribute clk_cor_repeat_wait is 0, then the transceiver may repeat the same sequence multi- ple times until the buffer is refilled to the desired extent. similarly, if rxusrclk is slower than rxrecclk, the buffer will fill up over time. the clock correction logic cor- rects for this by incrementing the read pointer to skip over a removable byte sequence that need not appear in the final fpga fabric byte stream. this is shown in the bottom buffer, figure 3 , where the solid read pointer increments to the value represented by the dashed pointer. this accelerates the emptying of the buffer, preventing its overflow. the transceiver design will skip a single byte sequence when necessary to partially empty a buffer. if attribute clk_cor_repeat_wait is 0, the transceiver may also skip two consecutive removable byte sequences in one step to further empty the buffer when necessary. these operations require the clock correction logic to recog- nize a byte sequence that can be freely repeated or omitted in the incoming data stream. this sequence is generally an idle sequence, or other sequence comprised of special values that occur in the gaps separating packets of mean- ingful data. these gaps are required to occur sufficiently often to facilitate the timely execution of clock correction. channel bonding some gigabit i/o standards such as infiniband specify the use of multiple transceivers in parallel for even higher data rates. words of data are split into bytes, with each byte sent over a separate channel (transceiver). see figure 4 . the top half of the figure shows the transmission of words split across four transceivers (channels or lanes). pppp, qqqq, rrrr, ssss, and tttt represent words sent over the four channels. figure 3: clock correction in receiver read rxusrclk read read write rxrecclk write write "nominal" condition: buffer half-full buffer less than half -full (emptying) buffer more than half-full (filling up) repeatable sequence removable sequence ds083-2_15_100901 figure 4: channel bonding (alignment) pqrs t pqrs t pqrs t pqrs t pqrs t pqrs t pqrs t pqrs t pqrs t pqrs t pqrs t pqrs t before channel bonding after channel bonding read rxusrclk read rxusrclk full word ssss sent over four channels, one byte per channel channel (lane) 0 channel (lane) 1 channel (lane) 2 channel (lane) 3 ds083-2_16_010202 in transmitters: in receivers:
functional description: rocket i/o multi-gigabit transceiver (mgt) r 32 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification the bottom-left portion of the figure shows the initial situa- tion in the fpga ? s receivers at the other end of the four channels. due to variations in transmission delay ? espe- cially if the channels are routed through repeaters ? the fpga fabric may not correctly assemble the bytes into com- plete words. the bottom-left illustration shows the incorrect assembly of data words pqpp, qrqq, rsrr, etc. to support correction of this misalignment, the data stream will include special byte sequences that define correspond- ing points in the several channels. in the bottom half of figure 4 , the shaded "p" bytes represent these special characters. each receiver recognizes the "p" channel bond- ing character, and remembers its location in the buffer. at some point, one transceiver designated as the master instructs all the transceivers to align to the channel bonding character "p" (or to some location relative to the channel bonding character). after this operation, the words transmit- ted to the fpga fabric will be properly aligned: rrrr, ssss, tttt, etc., as shown in the bottom-right portion of figure 4 . to ensure that the channels remain properly aligned following the channel bonding operation, the master transceiver must also control the clock correction operations described in the previous section for all channel-bonded transceivers. transmitter buffer the transmitter's buffer write pointer (txusrclk) is fre- quency-locked to its read pointer (refclk). therefore, clock correction and channel bonding are not required. the purpose of the transmitter's buffer is to accommodate a phase difference between txusrclk and refclk. a simple fifo suffices for this purpose. a fifo depth of four will permit reliable operation with simple detection of over- flow or underflow, which could occur if the clocks are not fre- quency-locked. crc the rocket i/o transceiver crc logic supports the 32-bit invariant crc calculation used by infiniband, fibrechannel, and gigabit ethernet. on the transmitter side, the crc logic recognizes where the crc bytes should be inserted and replaces four place- holder bytes at the tail of a data packet with the computed crc. for gigabit ethernet and fibrechannel, transmitter crc may adjust certain trailing bytes to generate the required running disparity at the end of the packet. on the receiver side, the crc logic verifies the received crc value, supporting the same standards as above. the crc logic also supports a user mode, with a simple data packet stucture beginning and ending with user-defined sop and eop characters. configuration this section outlines functions that may be selected or con- trolled by configuration. xilinx implementation software sup- ports 16 transceiver primitives, as shown in ta bl e 2 . each of the above primitives defines default values for the configuration attributes, allowing some number of them to be modified by the user. refer to the rocket i/o user guide for more details. reset / power down the receiver and transmitter have their own synchronous reset inputs. the transmitter reset recenters the transmis- sion fifo, and resets all transmitter registers and the 8b/10b decoder. the receiver reset recenters the receiver elastic buffer, and resets all receiver registers and the 8b/10b encoder. neither reset signal has any effect on the plls. the power down module is controlled by the power- down input pin on the transceiver core. the power down pin on the fpga package has no effect on the transceiver core. power sequencing although applying power in a random order does not dam- age the device, it is recommended to apply power in the fol- lowing sequence to minimize power-on current: 1. apply fpga fabric power supplies (v ccint and v ccaux ) in any order. 2. apply avccauxrx. 3. apply avccauxtx, v ttx , and v trx in any order. ta b l e 2 : supported rocket i/o transceiver primitives gt_custom fully customizable by user gt_fibre_chan_1 fibre channel, 1-byte data path gt_fibre_chan_2 fibre channel, 2-byte data path gt_fibre_chan_4 fibre channel, 4-byte data path gt_ethernet_1 gigabit ethernet, 1-byte data path gt_ethernet_2 gigabit ethernet, 2-byte data path gt_ethernet_4 gigabit ethernet, 4-byte data path gt_xaui_1 10-gigabit ethernet, 1-byte data path gt_xaui_2 10-gigabit ethernet, 2-byte data path gt_xaui_4 10-gigabit ethernet, 4-byte data path gt_infiniband_1 infiniband, 1-byte data path gt_infiniband_2 infiniband, 2-byte data path gt_infiniband_4 infiniband, 4-byte data path gt_aurora_1 xilinx protocol, 1-byte data path gt_aurora_2 xilinx protocol, 2-byte data path gt_aurora_4 xilinx protocol, 4-byte data path
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 33 advance product specification 1-800-255-7778 functional description: processor block this section briefly describes the interfaces and compo- nents of the processor block. the subsequent section, functional description: powerpc 405 core beginning on page 36 , offers a summary of major ppc405 core features. for an in-depth discussion on both processor block and ppc405, refer to the processor block manual and the ppc405 user manual . processor block overview figure 5 shows the internal architecture of the processor block. within the virtex-ii pro processor block, there are four com- ponents:  embedded ibm powerpc 405-d5 risc cpu core  on-chip memory (ocm) controllers and interfaces  clock/control interface logic  cpu-fpga interfaces embedded powerpc 405 risc core the powerpc 405d5 core is a 0.13 m implementation of the ibm powerpc 405d4 core. the advanced process tech- nology enables the embedded powerpc 405 (ppc405) core to operate at 300+ mhz while maintaining low power consumption. specially designed interface logic integrates the core with the surrounding clbs, block rams, and gen- eral routing resources. up to four processor blocks can be available in a single virtex-ii pro device. the ppc405 core implements the powerpc user instruc- tion set architecture (uisa), user-level registers, program- ming model, data types, and addressing modes for 32-bit fixed-point operations. 64-bit operations, auxiliary proces- sor operations, and floating-point operations are trapped and can be emulated in software. most of the ppc405 core features are compatible with the specifications for the powerpc virtual environment architecture (vea) and operating environment architecture (oea). they also provide a number of optimizations and extensions to the lower layers of the powerpc architecture. the full architecture of the ppc405 is defined by the powerpc embedded environment and the powerpc uisa . on-chip memory (ocm) controllers introduction the ocm controllers serve as dedicated interfaces between the block rams in the fpga fabric (see 18 kb block selectram resources , page 56 ) and ocm signals available on the embedded ppc405 core. the ocm signals on the ppc405 core are designed to provide very quick access to a fixed amount of instruction and data memory space. the ocm controller provides an interface to both the 64-bit instruction-side block ram (isbram) and the 32-bit data-side block ram (dsbram). the designer can choose to implement:  isbram only  dsbram only  both isbram and dsbram  no isbram and no dsbram one of ocm ? s primary advantages is that it guarantees a fixed latency of execution for a higher level of determinism. additionally, it reduces cache pollution and thrashing, since the cache remains available for caching code from other memory resources. typical applications for dsocm include scratch-pad mem- ory, as well as use of the dual-port feature of block ram to enable bidirectional data transfer between processor and fpga. typical applications for isocm include storage of interrupt service routines. functional features common features  separate instruction and data memory interface between processor core and brams in fpga  dedicated interface to device control register (dcr) bus for isocm and dsocm  single-cycle and multi-cycle mode option for i-side and d-side interfaces figure 5: processor block architecture processor block = cpu core + interface logic + cpu-fpga interface ds083-2_03a_060701 ppc 405 core ocm controller ocm controller control control bram bram bram bram bram bram bram bram fpga clb array interface logic cpu-fpga interfaces
functional description: processor block r 34 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification  single cycle = one clock cycle; multi-cycle = minimum of two and maximum of eight clock cycles  fpga configurable dcr addresses within dsocm and isocm  independent 16 mb logical memory space available within ppc405 memory map for each of the dsocm and isocm. the number of block rams in the device may limit the maximum amount of ocm supported.  maximum of 64k and 128k bytes addressable from dsocm and isocm interfaces, respectively, using address outputs from ocm directly without additional decoding logic data-side ocm (dsocm)  32-bit data read bus and 32-bit data write bus  byte write access to dsbram support  second port of dual port dsbram is available to read/write from an fpga interface  22-bit address to dsbram port  8-bit dcr registers: dscntl, dsarc  three alternatives to write into dsbram: bram initialization, cpu, fpga h/w using second port instruction-side ocm (isocm) the isocm interface contains a 64-bit read only port, for instruction fetches, and a 32-bit write only port, to initialize or test the isbram. when implementing the read only port, the user must deassert the write port inputs. the preferred method of initializing the isbram is through the configura- tion bitstream.  64-bit data read only bus (two instructions per cycle)  32-bit data write only bus (through dcr)  separate 21-bit address to isbram  8-bit dcr registers: iscntl, isarc  32-bit dcr registers: isinit, isfill  two alternatives to write into isbram: bram initialization, dcr and write instruction clock/control interface logic the clock/control interface logic provides proper initializa- tion and connections for ppc405 clock/power manage- ment, resets, plb cycle control, and ocm interfaces. it also couples user signals between the fpga fabric and the ppc405 cpu core. the processor clock connectivity is similar to clb clock pins. it can connect either to global clock nets or general routing resources. therefore the processor clock source can come from dcm, clb, or user package pin. cpu-fpga interfaces all processor block user pins link up with the general fpga routing resources through the cpu-fpga interface. there- fore processor signals have the same routability as other non-processor block user signals. longlines and hex lines travel across the processor block both vertically and hori- zontally, allowing signals to route through the processor block. processor local bus (plb) interfaces the ppc405 core accesses high-speed system resources through plb interfaces on the instruction and data cache controllers. the plb interfaces provide separate 32-bit address/64-bit data buses for the instruction and data sides. the cache controllers are both plb masters. plb arbiters can be implemented on fpga fabric and are available as soft ip cores. device control register (dcr) bus interface the device control register (dcr) bus has 10 bits of address space for components external to the ppc405 core. using the dcr bus to manage status and configura- tion registers reduces plb traffic and improves system integrity. system resources on the dcr bus are protected or isolated from wayward code since the dcr bus is not part of the system memory map. on-chip memory (ocm) interfaces access to optional, user-configurable direct-mapped mem- ory is through the ocm interfaces. the ocm interfaces can have the same access time as a cache hit, depending on the clock frequency and block ram size. ocm may be attached to the ppc405 core through the instruction ocm interface and/or the data ocm interface. instruction side ocm is often used to hold critical code such as an interrupt handler that requires guaranteed low-latency deterministic access. data side ocm offers the same fixed low-latency access and is used to hold critical data such as filter coefficients for a dsp application or packets for fast processing. refer to on-chip memory (ocm) controllers , page 33 , for more information. external interrupt controller (eic) interface two level-sensitive user interrupt pins (critical and non-criti- cal) are available. they can be either driven by user defined logic or xilinx soft interrupt controller ip core outside the processor block. clock/power management (cpm) interface the cpm interface supports several methods of clock distri- bution and power management.three modes of operation that reduce power consumption below the normal opera- tional level are available. reset interface there are three user reset input pins (core, chip, and sys- tem) and three user reset output pins for different levels of reset, if required.
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 35 advance product specification 1-800-255-7778 debug interface debugging interfaces on the ppc405 core, consisting of the jtag and trace ports, offer access to resources internal to the core and assist in software development. the jtag port provides basic jtag chip testing functionality as well as the ability for external debug tools to gain control of the proces- sor for debug purposes. the trace port furnishes program- mers with a mechanism for acquiring instruction execution traces. the jtag port complies with ieee std 1149.1, which defines a test access port (tap) and boundary scan architecture. extensions to the jtag interface provide debuggers with processor control that includes stopping, starting, and stepping the ppc405 core. these extensions are compliant with the ieee 1149.1 specifications for vendor-specific extensions. the trace port provides instruction execution trace informa- tion to an external trace tool. the ppc405 core is capable of back trace and forward trace. back trace is the tracing of instructions prior to a debug event while forward trace is the tracing of instructions after a debug event. the processor jtag port can be accessed independently from the fpga jtag port, or the two can be programmati- cally linked together and accessed via the fpga ? s dedi- cated jtag pins. coreconnect ? bus architecture the processor block is compatible with the coreconnect ? bus architecture. any coreconnect compliant cores includ- ing xilinx soft ip can integrate with the processor block through this high-performance bus architecture imple- mented on fpga fabric. the coreconnect architecture provides three buses for interconnecting processor blocks, xilinx soft ip, third party ip, and custom logic, as shown in figure 6 :  processor local bus (plb)  on-chip peripheral bus (opb)  device control register (dcr) bus high-performance peripherals connect to the high-band- width, low-latency plb. slower peripheral cores connect to the opb, which reduces traffic on the plb, resulting in greater overall system performance. for more information, refer to: http://www-3.ibm.com/chips/techlib/techlib.nfs /productfamilies/coreconnect_bus_architecture/ figure 6: coreconnect block diagram ds083-2_02a_010202 system core system core system core processor block peripheral core peripheral core processor local bus on-chip peripheral bus bus bridge coreconnect bus architecture arbiter arbiter dcr bus instruction data dcr bus dcr bus
functional description: powerpc 405 core r 36 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification functional description: powerpc 405 core this section offers a brief overview of the various functional blocks shown in figure 7 . ppc405 core the ppc405 core is a 32-bit harvard architecture proces- sor. it consists of the following functional blocks as shown in figure 7 :  cache units  memory management unit  fetch decode unit  execution unit  timers  debug logic unit it operates on instructions in a five stage pipeline consisting of a fetch, decode, execute, write-back, and load write-back stage. most instructions execute in a single cycle, including loads and stores. instruction and data cache the ppc405 core provides an instruction cache unit (icu) and a data cache unit (dcu) that allow concurrent accesses and minimize pipeline stalls. the instruction and data cache array are 16 kb each. both cache units are two-way set associative. each way is organized into 256 lines of 32 bytes (eight words). the instruction set provides a rich assortment of cache control instructions, including instruc- tions to read tag information and data arrays. the ppc405 core accesses external memory through the instruction (icu) and data cache units (dcu). the cache units each include a 64-bit plb master interface, cache arrays, and a cache controller. the icu and dcu handle cache misses as requests over the plb to another plb device such as an external bus interface unit. cache hits are handled as single cycle memory accesses to the instruction and data caches. instruction cache unit (icu) the icu provides one or two instructions per cycle to the instruction queue over a 64-bit bus. a line buffer (built into the output of the array for manufacturing test) enables the icu to be accessed only once for every four instructions, to reduce power consumption by the array. the icu can forward any or all of the four or eight words of a line fill to the exu to minimize pipeline stalls caused by cache misses. the icu aborts speculative fetches aban- doned by the exu, eliminating unnecessary line fills and enabling the icu to handle the next exu fetch. aborting abandoned requests also eliminates unnecessary external bus activity, thereby increasing external bus utilization. data cache unit (dcu) the dcu transfers one, two, three, four, or eight bytes per cycle, depending on the number of byte enables presented by the cpu. the dcu contains a single-element command and store data queue to reduce pipeline stalls; this queue enables the dcu to independently process load/store and cache control instructions. dynamic plb request prioritiza- tion reduces pipeline stalls even further. when the dcu is busy with a low-priority request while a subsequent storage figure 7: ppc405 core block diagram mac alu ds083-2_01_062001 plb master interface data ocm jtag instruction trace d-cache controller d-cache array i-cache controller i-cache array data cache unit instruction cache unit 32 x 32 gpr execution unit (exu) plb master interface instruction ocm instruction shadow tlb (4 entry) unified tlb (64 entry) data shadow tlb (8 entry) fetch and decode logic 3-element fetch queue (pfb1, pfb0, dcd) timers (fit, pit, watchdog) debug logic timers & debug fetch & decode mmu cache units execution unit
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 37 advance product specification 1-800-255-7778 operation requested by the cpu is stalled; the dcu auto- matically increases the priority of the current request to the plb. the dcu provides additional features that allow the pro- grammer to tailor its performance for a given application. the dcu can function in write-back or write-through mode, as controlled by the data cache write-through register (dcwr) or the translation look-aside buffer (tlb); the cache controller can be tuned for a balance of performance and memory coherency. write-on-allocate, controlled by the store word on allocate (swoa) field of the core configura- tion register 0 (ccr0), can inhibit line fills caused by store misses, to further reduce potential pipeline stalls and unwanted external bus traffic. fetch and decode logic the fetch and decode logic maintains a steady flow of instructions to the execution unit by placing up to two instructions in the fetch queue. the fetch queue consists of three buffers: pre-fetch buffer 1 (pfb1), pre-fetch buffer 0 (pfb0) and decode (dcd). the fetch logic ensures that instructions proceed directly to decode when the queue is empty. static branch prediction as implemented on the ppc405 core takes advantage of some standard statistical proper- ties of code. branches with negative address displacement are by default assumed taken. branches that do not test the condition or count registers are also predicted as taken. the ppc405 core bases branch prediction upon these default conditions when a branch is not resolved and speculatively fetches along the predicted path. the default prediction can be overridden by software at assembly or compile time. branches are examined in the decode and pre-fetch buffer 0 fetch queue stages. two branch instructions can be handled simultaneously. if the branch in decode is not taken, the fetch logic fetches along the predicted path of the branch instruction in pre-fetch buffer 0. if the branch in decode is taken, the fetch logic ignores the branch instruction in pre-fetch buffer 0. execution unit the ppc405 core has a single issue execution unit (exu), which contains the register file, arithmetic logic unit (alu), and the multiply-accumulate (mac) unit. the execution unit performs all 32-bit powerpc integer instructions in hard- ware. the register file is comprised of thirty-two 32-bit general purpose registers (gpr), which are accessed with three read ports and two write ports. during the decode stage, data is read out of the gprs and fed to the execution unit. likewise, during the write-back stage, results are written to the gpr. the use of the five ports on the register file enables either a load or a store operation to execute in par- allel with an alu operation. memory management unit (mmu) the ppc405 core has a 4 gb address space, which is pre- sented as a flat address space. the mmu provides address translation, protection func- tions, and storage attribute control for embedded applica- tions. the mmu supports demand-paged virtual memory and other management schemes that require precise con- trol of logical-to-physical address mapping and flexible memory protection. working with appropriate system-level software, the mmu provides the following functions:  translation of the 4 gb effective address space into physical addresses  independent enabling of instruction and data translation/protection  page-level access control using the translation mechanism  software control of page replacement strategy  additional control over protection using zones  storage attributes for cache policy and speculative memory access control the mmu can be disabled under software control. if the mmu is not used, the ppc405 core provides other storage control mechanisms. translation look-aside buffer (tlb) the translation look-aside buffer (tlb) is the hardware resource that controls translation and protection. it consists of 64 entries, each specifying a page to be translated. the tlb is fully associative; a given page entry can be placed anywhere in the tlb. the translation function of the mmu occurs pre-cache. cache tags and indexing use physical addresses. software manages the establishment and replacement of tlb entries. this gives system software significant flexibility in implementing a custom page replacement strategy. for example, to reduce tlb thrashing or translation delays, software can reserve several tlb entries in the tlb for glo- bally accessible static mappings. the instruction set pro- vides several instructions used to manage tlb entries. these instructions are privileged and require the software to be executing in supervisor state. additional tlb instruc- tions are provided to move tlb entry fields to and from gprs. the mmu divides logical storage into pages. eight page sizes (1 kb, 4 kb, 16 kb, 64 kb, 256 kb, 1 mb, 4 mb, and 16 mb) are simultaneously supported, such that, at any given time, the tlb can contain entries for any combination of page sizes. in order for a logical to physical translation to exist, a valid entry for the page containing the logical address must be in the tlb. addresses for which no tlb entry exists cause tlb-miss exceptions. to improve performance, four instruction-side and eight data-side tlb entries are kept in shadow arrays. the
functional description: powerpc 405 core r 38 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification shadow arrays allow single-cycle address translation and also help to avoid tlb contention between load/store and instruction fetch operations. hardware manages the replacement and invalidation of shadow-tlb entries; no system software action is required. memory protection when address translation is enabled, the translation mech- anism provides a basic level of protection. the zone protection register (zpr) enables the system software to override the tlb access controls. for example, the zpr provides a way to deny read access to application programs. the zpr can be used to classify storage by type; access by type can be changed without manipulating indi- vidual tlb entries. the powerpc architecture provides wiu0ge (write-back / write-through, cacheability, user-defined 0, guarded, endian) storage attributes that control memory accesses, using bits in the tlb or, when address translation is dis- abled, storage attribute control registers. when address translation is enabled, storage attribute con- trol bits in the tlb control the storage attributes associated with the current page. when address translation is disabled, bits in each storage attribute control register control the storage attributes associated with storage regions. each storage attribute control register contains 32 fields. each field sets the associated storage attribute for a 128 mb memory region. timers the ppc405 core contains a 64-bit time base and three tim- ers, as shown in figure 8 :  programmable interval timer (pit)  fixed interval timer (fit)  watchdog timer (wdt) the time base counter increments either by an internal sig- nal equal to the cpu clock rate or by a separate external timer clock signal. no interrupts are generated when the time base rolls over. the three timers are synchronous with the time base. the pit is a 32-bit register that decrements at the same rate as the time base is incremented. the user loads the pit register with a value to create the desired delay. when the register reaches zero, the timer stops decrementing and generates a pit interrupt. optionally, the pit can be pro- grammed to auto-reload the last value written to the pit register, after which the pit continues to decrement. the fit generates periodic interrupts based on one of four selectable bits in the time base. when the selected bit changes from 0 to 1, the ppc405 core generates a fit interrupt. the wdt provides a periodic critical-class interrupt based on a selected bit in the time base. this interrupt can be used for system error recovery in the event of software or system lockups. users may select one of four time periods for the interval and the type of reset generated if the wdt expires twice without an intervening clear from software. if enabled, the watchdog timer generates a reset unless an exception handler updates the wdt status bit before the timer has completed two of the selected timer intervals. interrupts the ppc405 provides an interface to an interrupt controller that is logically outside the ppc405 core. this controller combines the asynchronous interrupt inputs and presents them to the core as a single interrupt signal. the sources of asynchronous interrupts are external signals, the jtag/debug unit, and any implemented peripherals. debug logic all architected resources on the ppc405 core can be accessed through the debug logic. upon a debug event, the ppc405 core provides debug information to an external debug tool. three different types of tools are supported depending on the debug mode: rom monitors, jtag debuggers, and instruction trace tools. in internal (intrusive) debug mode, a debug event enables exception-handling software at a dedicated interrupt vector to take over the cpu core and communicate with a debug tool. the debug tool has read-write access to all registers and can set hardware or software breakpoints. rom moni- tors typically use the internal debug mode. figure 8: relationship of timer facilities to base clock tbu (32 bits) bit 3 (2 29 clocks) bit 7 (2 25 clocks) bit 11 (2 21 clocks) bit 15 (2 17 clocks) bit 11 (2 21 clocks) bit 15 (2 17 clocks) bit 19 (2 13 9 clocks) bit 23 (2 clocks) wdt events fit events time base (incrementer) 31 tbl (32 bits) 31 00 pit (decrementer) (32 bits) 31 0 zero detect pit events external clock source ds083-2_06_062001
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 39 advance product specification 1-800-255-7778 in external (non-intrusive) debug mode, the cpu core enters stop state (stops instruction execution) when a debug event occurs. this mode offers a debug tool non-intrusive read-write access to all registers in the ppc405 core. once the cpu core is in stop state, the debug tool can start the cpu core, step an instruction, freeze the timers, or set hard- ware or software break points. in addition to cpu core con- trol, the debug logic is capable of writing instructions into the instruction cache, eliminating the need for external memory during initial board bring up. communication to a debug tool using external debug mode is through the jtag port. debug wait mode offers the same functionality as external debug mode with one exception. in debug wait mode, the cpu core goes into wait state instead of stop state after a debug event. wait state is identical to stop state until an interrupt occurs. in wait state, the ppc405 core can vector to an exception handler, service an interrupt and return to wait state. this mode is particularly useful when debugging real time control systems. real-time trace debug mode is always enabled. the debug logic continuously broadcasts instruction trace information to the trace port. when a debug event occurs, the debug logic signals an external debug tool to save instruction trace information before and after the event. the number of instructions traced depends on the trace tool. debug events signal the debug logic to stop the cpu core, put the cpu core in debug wait state, cause a debug excep- tion or save instruction trace information. big endian and little endian support the ppc405 core supports big endian or little endian byte ordering for instructions stored in external memory. since the powerpc architecture is big endian internally, the icu rearranges the instructions stored as little endian into the big endian format. therefore, the instruction cache always contains instructions in big endian format so that the byte ordering is correct for the execution unit. this feature allows the 405 core to be used in systems designed to function in a little endian environment. functional description: fpga input/output blocks (iobs) virtex-ii pro i/o blocks (iobs) are provided in groups of two or four on the perimeter of each device. each iob can be used as input and/or output for single-ended i/os. two iobs can be used as a differential pair. a differential pair is always connected to the same switch matrix, as shown in figure 9 . iob blocks are designed for high-performance i/os, sup- porting 22 single-ended standards, as well as differential signaling with lvds, ldt, and bus lvds. supported i/o standards virtex-ii pro iob blocks feature selecti/o inputs and out- puts that support a wide variety of i/o signaling standards. in addition to the internal supply voltage (v ccint =1.5v), output driver supply voltage ( v cco ) is dependent on the i/o standard (see ta b l e 3 and ta bl e 4 ). an auxiliary supply volt- age (v ccaux = 2.5v) is required, regardless of the i/o standard used. for exact supply voltage absolute maximum ratings, see virtex-ii pro platform fpgas: dc and switching characteristics (module 3) . figure 9: virtex-ii pro input/output tile iob pad4 iob pad3 differential pair iob pad2 iob pad1 differential pair switch matrix ds083-2_30_010202 ta b l e 3 : supported single-ended i/o standards i/o standard output v cco input v cco input v ref board termination voltage (v tt ) lvttl 3.3 3.3 n/a n/a lvcmos33 3.3 3.3 n/a n/a lvcmos25 2.5 2.5 n/a n/a lvcmos18 1.8 1.8 n/a n/a lvcmos15 1.5 1.5 n/a n/a pci33_3 3.3 3.3 n/a n/a pci66_3 3.3 3.3 n/a n/a gtl note (1) note (1) 0.8 1.2 gtlp note (1) note (1) 1.0 1.5 hstl_i 1.5 n/a 0.75 0.75 hstl_ii 1.5 n/a 0.75 0.75 hstl_iii 1.5 n/a 0.9 1.5 hstl_iv 1.5 n/a 0.9 1.5 hstl_i_18 1.8 n/a 0.9 0.9 hstl_ii_18 1.8 n/a 0.9 0.9 hstl_iii _18 1.8 n/a 1.08 1.8 hstl_iv_18 1.8 n/a 1.08 1.8
functional description: fpga r 40 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification all of the user iobs have fixed-clamp diodes to v cco and to ground. the iobs are not compatible or compliant with 5v i/o standards (not 5v tolerant). ta b l e 5 lists supported i/o standards with digitally con- trolled impedance. see digitally controlled impedance (dci) , page 44 . logic resources iob blocks include six storage elements, as shown in figure 10 . each storage element can be configured either as an edge-triggered d-type flip-flop or as a level-sensitive latch. on the input, output, and 3-state path, one or two ddr reg- isters can be used. double data rate is directly accomplished by the two regis- ters on each path, clocked by the rising edges (or falling edges) from two different clock nets. the two clock signals are generated by the dcm and must be 180 degrees out of phase, as shown in figure 11 . there are two input, output, and 3-state data signals, each being alternately clocked out. sstl2_i 2.5 n/a 1.25 1.25 sstl2_ii 2.5 n/a 1.25 1.25 sstl3_i 3.3 n/a 1.5 1.5 sstl3_ii 3.3 n/a 1.5 1.5 notes: 1. v cco of gtl or gtlp should not be lower than the termination voltage or the voltage seen at the i/o pad. table 4: supported differential signal i/o standards i/o standard output v cco input v cco input v ref output v od ldt_25 2.5 n/a n/a 0.500 - 0.740 lvds_25 2.5 n/a n/a 0.250 - 0.400 lvdsext_25 2.5 n/a n/a 0.330 - 0.700 blvds_25 2.5 n/a n/a 0.250 - 0.450 ulvds_25 2.5 n/a n/a 0.500 - 0.740 table 5: supported dci i/o standards i/o standard output v cco input v cco input v ref termination type lvdci_33 (1) 3.3 3.3 n/a series lvdci_25 2.5 2.5 n/a series lvdci_dv2_25 2.5 2.5 n/a series lvdci_18 1.8 1.8 n/a series lvdci_dv2_18 1.8 1.8 n/a series lvdci_15 1.5 1.5 n/a series lvdci_dv2_15 1.5 1.5 n/a series gtl_dci 1.2 1.2 0.8 single gtlp_dci 1.5 1.5 1.0 single hstl_i_dci 1.5 1.5 0.75 split hstl_ii_dci 1.5 1.5 0.75 split hstl_iii_dci 1.5 1.5 0.9 single hstl_iv_dci 1.5 1.5 0.9 single table 3: supported single-ended i/o standards i/o standard output v cco input v cco input v ref board termination voltage (v tt ) hstl_i_dci_18 1.8 1.8 0.9 split hstl_ii_dci_18 1.8 1.8 0.9 split hstl_iii_dci_18 1.8 1.8 1.08 single hstl_iv_dci_18 1.8 1.8 1.08 single sstl2_i_dci (2) 2.5 2.5 1.25 split sstl2_ii_dci (2) 2.5 2.5 1.25 split sstl3_i_dci (2) 3.3 3.3 1.5 split sstl3_ii_dci (2) 3.3 3.3 1.5 split notes: 1. lvdci_xx is lvcmos controlled impedance buffers, matching the reference resistors or half of the reference resistors. 2. these are sstl compatible. figure 10: virtex-ii pro iob block ta b l e 5 : supported dci i/o standards (continued) i/o standard output v cco input v cco input v ref termination type reg ock1 reg ock2 reg ick1 reg ick2 ddr mux input pa d 3-state reg ock1 reg ock2 ddr mux output iob ds031_29_100900
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 41 advance product specification 1-800-255-7778 this ddr mechanism can be used to mirror a copy of the clock on the output. this is useful for propagating a clock along the data that has an identical delay. it is also useful for multiple clock generation, where there is a unique clock driver for every clock load. virtex-ii pro devices can pro- duce many copies of a clock with very little skew. each group of two registers has a clock enable signal (ice for the input registers, oce for the output registers, and tce for the 3-state registers). the clock enable signals are active high by default. if left unconnected, the clock enable for that storage element defaults to the active state. each iob block has common synchronous or asynchronous set and reset (sr and rev signals). sr forces the storage element into the state specified by the srhigh or srlow attribute. srhigh forces a logic 1. srlow forces a logic ? 0 ? . when sr is used, a second input (rev) forces the storage element into the opposite state. the reset condition predominates over the set condition. the ini- tial state after configuration or global initialization state is defined by a separate init0 and init1 attribute. by default, the srlow attribute forces init0, and the srhigh attribute forces init1. for each storage element, the srhigh, srlow, init0, and init1 attributes are independent. synchronous or asynchronous set / reset is consistent in an iob block. all the control signals have independent polarity. any inverter placed on a control input is automatically absorbed. each register or latch, independent of all other registers or latches, can be configured as follows:  no set or reset  synchronous set  synchronous reset  synchronous set and reset  asynchronous set (preset)  asynchronous reset (clear)  asynchronous set and reset (preset and clear) the synchronous reset overrides a set, and an asynchro- nous clear overrides a preset. refer to figure 12 . figure 11: double data rate registers d1 clk1 ddr mux q1 fddr d2 clk2 qq q2 d1 clk1 ddr mux dcm q1 fddr d2 clk2 q2 180 0 dcm 0 ds083-2_26_122001
functional description: fpga r 42 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification input/output individual options each device pad has optional pull-up/pull-down resistors and weak-keeper circuit in the lvcmos selecti/o configu- ration, as illustrated in figure 13 . values of the optional pull-up and pull-down resistors fall within a range of 40 k ? to 120 k ? when v cco = 2.5v (from 2.38v to 2.63v only). the clamp diode is always present, even when power is not. the optional weak-keeper circuit is connected to each out- put. when selected, the circuit monitors the voltage on the pad and weakly drives the pin high or low. if the pin is con- nected to a multiple-source signal, the weak-keeper holds the signal in its last state if all drivers are disabled. maintain- ing a valid logic level in this way eliminates bus chatter. an enabled pull-up or pull-down overrides the weak-keeper cir- cuit. figure 12: register / latch configuration in an iob block ff latch sr rev d1 q1 ce ck1 ff latch sr rev d2 ff1 ff2 ddr mux q2 ce ck2 rev sr (o/t) clk1 (oq or tq) (o/t) ce (o/t) 1 (o/t) clk2 (o/t) 2 attribute init1 init0 srhigh srlow attribute init1 init0 srhigh srlow reset type sync async ds031_25_110300 shared by all registers figure 13: lvcmos selecti/o standard v cco v cco v cco weak keeper program delay obuf ibuf program current clamp diode pa d v ccaux = 2.5v ds083-2_07_101801 v ccint = 1.5v 40k ? 120k ? 40k ? 120k ?
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 43 advance product specification 1-800-255-7778 lvttl sinks and sources current up to 24 ma. the current is programmable for lvttl and lvcmos selecti/o stan- dards (see ta b l e 6 ). drive strength and slew rate controls for each output driver minimize bus transients. for lvdci and lvdci_dv2 standards, drive strength and slew rate controls are not available. figure 14 shows the sstl2 and hstl configurations. hstl can sink current up to 48 ma. (hstl iv) all pads are protected against damage from electrostatic discharge (esd) and from over-voltage transients. virtex-ii pro uses two memory cells to control the configura- tion of an i/o as an input. this is to reduce the probability of an i/o configured as an input from flipping to an output when subjected to a single event upset (seu) in space applications. prior to configuration, all outputs not involved in configura- tion are forced into their high-impedance state. the pull-down resistors and the weak-keeper circuits are inac- tive. the dedicated pin hswap_en controls the pull-up resistors prior to configuration. by default, hswap_en is set high, which disables the pull-up resistors on user i/o pins. when hswap_en is set low, the pull-up resistors are activated on user i/o pins. all virtex-ii pro iobs (except rocket i/o pins) support ieee 1149.1 and ieee 1532 compatible boundary scan testing. input path the virtex-ii pro iob input path routes input signals directly to internal logic and / or through an optional input flip-flop or latch, or through the ddr input registers. an optional delay element at the d-input of the storage element eliminates pad-to-pad hold time. the delay is matched to the internal clock-distribution delay of the virtex-ii pro device, and when used, assures that the pad-to-pad hold time is zero. each input buffer can be configured to conform to any of the low-voltage signaling standards supported. in some of these standards the input buffer utilizes a user-supplied threshold voltage, v ref . the need to supply v ref imposes constraints on which standards can be used in the same bank. see i/o banking description. output path the output path includes a 3-state output buffer that drives the output signal onto the pad. the output and / or the 3-state signal can be routed to the buffer directly from the internal logic or through an output / 3-state flip-flop or latch, or through the ddr output / 3-state registers. each output driver can be individually programmed for a wide range of low-voltage signaling standards. in most sig- naling standards, the output high voltage depends on an externally supplied v cco voltage. the need to supply v cco imposes constraints on which standards can be used in the same bank. see i/o banking description. i/o banking some of the i/o standards described above require v cco and v ref voltages. these voltages are externally supplied and connected to device pins that serve groups of iob blocks, called banks. consequently, restrictions exist about which i/o standards can be combined within a given bank. eight i/o banks result from dividing each edge of the fpga into two banks, as shown in figure 15 and figure 16 . each bank has multiple v cco pins, all of which must be con- nected to the same voltage. this voltage is determined by the output standards in use. table 6: lvttl and lvcmos programmable currents (sink and source) selecti/o programmable current (worst-case guaranteed minimum) lvttl 2 ma 4 ma 6 ma 8 ma 12 ma 16 ma 24 ma lvcmos33 2 ma 4 ma 6 ma 8 ma 12 ma 16 ma 24 ma lvcmos25 2 ma 4 ma 6 ma 8 ma 12 ma 16 ma 24 ma lvcmos18 2 ma 4 ma 6 ma 8 ma 12 ma 16 ma n/a lvcmos15 2 ma 4 ma 6 ma 8 ma 12 ma 16 ma n/a figure 14: sstl or hstl selecti/o standards v cco obuf v ref clamp diode pa d v ccaux = 2.5v v ccint = 1.5v ds031_24_100900
functional description: fpga r 44 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification within a bank, output standards can be mixed only if they use the same v cco . compatible standards are shown in ta b l e 7 . gtl and gtlp appear under all voltages because their open-drain outputs do not depend on v cco . some input standards require a user-supplied threshold voltage, v ref . in this case, certain user-i/o pins are auto- matically configured as inputs for the v ref voltage. approx- imately one in six of the i/o pins in the bank assume this role. v ref pins within a bank are interconnected internally, and consequently only one v ref voltage can be used within each bank. however, for correct operation, all v ref pins in the bank must be connected to the external reference volt- age source. the v cco and the v ref pins for each bank appear in the device pinout tables. within a given package, the number of v ref and v cco pins can vary depending on the size of device. in larger devices, more i/o pins convert to v ref pins. since these are always a superset of the v ref pins used for smaller devices, it is possible to design a pcb that permits migration to a larger device if necessary. all v ref pins for the largest device anticipated must be con- nected to the v ref voltage and not used for i/o. in smaller devices, some v cco pins used in larger devices do not con- nect within the package. these unconnected pins can be left unconnected externally, or, if necessary, they can be connected to the v cco voltage to permit migration to a larger device. digitally controlled impedance (dci) today ? s chip output signals with fast edge rates require ter- mination to prevent reflections and maintain signal integrity. high pin count packages (especially ball grid arrays) can not accommodate external termination resistors. virtex-ii pro dci provides controlled impedance drivers and on-chip termination for single-ended i/os. this eliminates the need for external resistors, and improves signal integrity. the dci feature can be used on any iob by selecting one of the dci i/o standards. when applied to inputs, dci provides input parallel termina- tion. when applied to outputs, dci provides controlled impedance drivers (series termination) or output parallel termination. dci operates independently on each i/o bank. when a dci i/o standard is used in a particular i/o bank, external refer- ence resistors must be connected to two dual-function pins figure 15: virtex-ii pro i/o banks: top view for wire-bond packages (cs, fg, and bg) figure 16: virtex-ii pro i/o banks: top view for flip-chip packages (ff and bf) ug002_c2_014_112900 bank 0 bank 1 bank 5 bank 4 bank 7 bank 6 bank 2 bank 3 ds031_66_112900 bank 1 bank 0 bank 4 bank 5 bank 2 bank 3 bank 7 bank 6 ta b l e 7 : compatible output standards v cco compatible standards (1) 3.3v (2) pci (3) , lvttl, sstl3 (i & ii), lvcmos33, lvdci_33, sstl3_dci (i & ii) (1) 2.5v sstl2 (i & ii), lvcmos25, gtl, gtlp, lvds_25, lvdsext_25, lvdci_25, lvdci_dv2_25, sstl2_dci (i & ii), ldt, ulvds, blvds 1.8v hstl (i, ii, iii, & iv), hstl_dci (i,ii, iii & iv), lvcmos18, gtl, gtlp, lvdci_18, lvdci_dv2_18 1.5v hstl (i, ii, iii, & iv), hstl_dci (i,ii, iii & iv), lvcmos15, gtl, gtlp, lvdci_15, lvdci_dv2_15, gtlp_dci 1.2v gtl_dci notes: 1. lvpecl, lvds_33, lvdsext_33, and agp-2x are not supported. 2. perfect impedance matching is required for 3.3v standards. 3. for optimum performance, it is recommended that pci be used in conjunction with lvdci_33. contact xilinx for more details.
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 45 advance product specification 1-800-255-7778 on the bank. these resistors, voltage reference of n transis- tor (vrn) and the voltage reference of p transistor (vrp) are shown in figure 17 . when used with a terminated i/o standard, the value of the resistors are specified by the standard (typically 50 ? ). when used with a controlled impedance driver, the resistors set the output impedance of the driver within the specified range (20 ? to 100 ?) . for all series and parallel termina- tions listed in ta b l e 8 and ta b l e 9 , the reference resistors must have the same value for any given bank. one percent resistors are recommended. the dci system adjusts the i/o impedance to match the two external reference resistors, or half of the reference resis- tors, and compensates for impedance changes due to volt- age and/or temperature fluctuations. the adjustment is done by turning parallel transistors in the iob on or off. controlled impedance drivers (series termination) dci can be used to provide a buffer with a controlled output impedance. it is desirable for this output impedance to match the transmission line impedance (z 0 ). virtex-ii pro input buffers also support lvdci and lvdci_dv2 i/o stan- dards. controlled impedance terminations (parallel termination) dci also provides on-chip termination for sstl3, sstl2, hstl (class i, ii, iii, or iv), and gtl/gtlp receivers or transmitters on bidirectional lines. ta bl e 9 lists the on-chip parallel terminations available in virtex-ii pro devices. v cco must be set according to ta b l e 5 . note that there is a v cco requirement for gtl_dci and gtlp_dci, due to the on-chip termination resistor. figure 17: dci in a virtex-ii pro bank figure 18: internal series termination ds031_50_101200 v cco gnd dci dci dci dci vrn vrp 1 bank r ref (1%) r ref (1%) z iob z virtex-ii pro dci ds083-2_09_122001 v cco = 3.3v, 2.5 v, 1.8 v, or 1.5 v ta b l e 8 : selecti/o controlled impedance buffers v cco dci dci half impedance 3.3v lvdci_33 n/a 2.5v lvdci_25 lvdci_dv2_25 1.8v lvdci_18 lvdci_dv2_18 1.5v lvdci_15 lvdci_dv2_15 ta b l e 9 : selecti/o buffers with on-chip parallel termination i/o standard external termination on-chip termination sstl3 class i sstl3_i sstl3_i_dci (1) sstl3 class ii sstl3_ii sstl3_ii_dci (1) sstl2 class i sstl2_i sstl2_i_dci (1) sstl2 class ii sstl2_ii sstl2_ii_dci (1) hstl class i hstl_i hstl_i_dci hstl_i_18 hstl_i_dci_18 hstl class ii hstl_ii hstl_ii_dci hstl_ii_18 hstl_ii_dci_18 hstl class iii hstl_iii hstl_iii_dci hstl_iii_18 hstl_iii_dci_18 hstl class iv hstl_iv hstl_iv_dci hstl_iv_18 hstl_iv_dci_18 gtl gtl gtl_dci gtlp gtlp gtlp_dci notes: 1. sstl compatible
functional description: fpga r 46 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification figure 19 provides examples illustrating the use of the hstl_iv_dci, hstl_ii_dci, and sstl2_dci i/o standards. figure 19: dci usage examples z 0 rr hstl_iv_dci transmitter hstl_iv_dci receiver hstl_iv_dci transmitter and receiver v cco v cco z 0 rr v cco r v cco v cco hstl_iv z 0 r v cco r v cco z 0 r v cco z 0 rr hstl_ii_dci transmitter hstl_ii_dci receiver v cco /2 v cco /2 z 0 2r 2r r 2r v cco v cco /2 hstl_ii z 0 r v cco /2 2r v cco hstl_ii_dci transmitter and receiver sstl2_i_dci transmitter sstl2_i_dci receiver z 0 z 0 z 0 r v cco /2 r v cco /2 sstl2_i sstl2_i_dci transmitter and receiver 2r 2r v cco 2r z 0 2r v cco virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci 2r 2r v cco z 0 2r 2r v cco ds083-2_08_122001 virtex-ii pro dci
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 47 advance product specification 1-800-255-7778 configurable logic blocks (clbs) the virtex-ii pro configurable logic blocks (clb) are orga- nized in an array and are used to build combinatorial and synchronous logic designs. each clb element is tied to a switch matrix to access the general routing matrix, as shown in figure 20 . a clb element comprises 4 similar slices, with fast local feedback within the clb. the four slices are split in two columns of two slices with two inde- pendent carry logic chains and one common shift chain. slice description each slice includes two 4-input function generators, carry logic, arithmetic logic gates, wide function multiplexers and two storage elements. as shown in figure 21 , each 4-input function generator is programmable as a 4-input lut, 16 bits of distributed selectram memory, or a 16-bit vari- able-tap shift register element. figure 20: virtex-ii pro clb element slice x1y1 slice x1y0 slice x0y1 slice x0y0 fast connects to neighbors switch matrix ds083-2_32_122001 shift cin cout tbuf cout cin tbuf figure 21: virtex-ii pro slice configuration register/ latch muxf5 muxfx cy srl16 ram16 lut g register/ latch arithmetic logic cy lut f ds083-2_31_122001 srl16 ram16 orcy
functional description: fpga r 48 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification the output from the function generator in each slice drives both the slice output and the d input of the storage element. figure 22 shows a more detailed view of a single slice. configurations look-up table virtex-ii pro function generators are implemented as 4-input look-up tables (luts). four independent inputs are provided to each of the two function generators in a slice (f and g). these function generators are each capable of implementing any arbitrarily defined boolean function of four inputs. the propagation delay is therefore independent of the function implemented. signals from the function gener- ators can exit the slice (x or y output), can input the xor dedicated gate (see arithmetic logic), or input the carry-logic multiplexer (see fast look-ahead carry logic), or feed the d input of the storage element, or go to the muxf5 (not shown in figure 22 ). in addition to the basic luts, the virtex-ii pro slice contains logic (muxf5 and muxfx multiplexers) that combines function generators to provide any function of five, six, seven, or eight inputs. the muxfx is either muxf6, muxf7, or muxf8 according to the slice considered in the clb. selected functions up to nine inputs (muxf5 multi- plexer) can be implemented in one slice. the muxfx can also be a muxf6, muxf7, or muxf8 multiplexer to map any function of six, seven, or eight inputs and selected wide logic functions. figure 22: virtex-ii pro slice (top half) g4 sopin a4 g3 a3 g2 a2 g1 a1 wg4 wg4 wg3 wg3 wg2 wg2 wg1 by wg1 dual-port lut ff latch ram rom shift-reg d 0 mc15 ws sr sr rev di g y g2 g1 by 1 0 prod dq ce ce ck clk muxcy yb dig dy y o i muxcy o i i sopout dymux gymux ybmux orcy wsg we[2:0] shiftout cyog xorg we clk wsf altdig ce sr clk slicewe[2:0] multand shared between x & y registers shiftin cout cin ds031_01_110600 q
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 49 advance product specification 1-800-255-7778 register/latch the storage elements in a virtex-ii pro slice can be config- ured either as edge-triggered d-type flip-flops or as level-sensitive latches. the d input can be directly driven by the x or y output via the dx or dy input, or by the slice inputs bypassing the function generators via the bx or by input. the clock enable signal (ce) is active high by default. if left unconnected, the clock enable for that storage ele- ment defaults to the active state. in addition to clock (ck) and clock enable (ce) signals, each slice has set and reset signals (sr and by slice inputs). sr forces the storage element into the state speci- fied by the attribute srhigh or srlow. srhigh forces a logic 1 when sr is asserted. srlow forces a logic 0. when sr is used, an optional second input (by) forces the stor- age element into the opposite state via the rev pin. the reset condition is predominant over the set condition. (see figure 23 .) the initial state after configuration or global initial state is defined by a separate init0 and init1 attribute. by default, setting the srlow attribute sets init0, and setting the srhigh attribute sets init1. for each slice, set and reset can be set to be synchronous or asynchronous. virtex-ii pro devices also have the ability to set init0 and init1 independent of srhigh and srlow. the control signals clock (clk), clock enable (ce) and set/reset (sr) are common to both storage elements in one slice. all of the control signals have independent polarity. any inverter placed on a control input is automatically absorbed. the set and reset functionality of a register or a latch can be configured as follows:  no set or reset  synchronous set  synchronous reset  synchronous set and reset  asynchronous set (preset)  asynchronous reset (clear)  asynchronous set and reset (preset and clear) the synchronous reset has precedence over a set, and an asynchronous clear has precedence over a preset. distributed selectram memory each function generator (lut) can implement a 16 x 1-bit synchronous ram resource called a distributed selectram element. the selectram elements are configurable within a clb to implement the following:  single-port 16 x 8-bit ram  single-port 32 x 4-bit ram  single-port 64 x 2-bit ram  single-port 128 x 1-bit ram  dual-port 16 x 4-bit ram  dual-port 32 x 2-bit ram  dual-port 64 x 1-bit ram distributed selectram memory modules are synchronous (write) resources. the combinatorial read access time is extremely fast, while the synchronous write simplifies high-speed designs. a synchronous read can be imple- mented with a storage element in the same slice. the dis- tributed selectram memory and the storage element share the same clock input. a write enable (we) input is active high, and is driven by the sr input. ta b l e 1 0 shows the number of luts (2 per slice) occupied by each distributed selectram configuration. figure 23: register / latch configuration in a slice ff ffy latch sr rev dq ce ck yq ff ffx latch sr rev d q ce ck xq ce dx dy by clk bx sr attribute init1 init0 srhigh srlow attribute init1 init0 srhigh srlow reset type sync async ds083-2_22_122001 table 10: distributed selectram configurations ram number of luts 16 x 1s 1 16 x 1d 2 32 x 1s 2 32 x 1d 4 64 x 1s 4 64 x 1d 8 128 x 1s 8 notes: 1. s = single-port configuration; d = dual-port configuration
functional description: fpga r 50 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification for single-port configurations, distributed selectram mem- ory has one address port for synchronous writes and asyn- chronous reads. for dual-port configurations, distributed selectram mem- ory has one port for synchronous writes and asynchronous reads and another port for asynchronous reads. the func- tion generator (lut) has separated read address inputs (a1, a2, a3, a4) and write address inputs (wg1/wf1, wg2/wf2, wg3/wf3, wg4/wf4). in single-port mode, read and write addresses share the same address bus. in dual-port mode, one function genera- tor (r/w port) is connected with shared read and write addresses. the second function generator has the a inputs (read) connected to the second read-only port address and the w inputs (write) shared with the first read/write port address. figure 24 , figure 25 , and figure 26 illustrate various exam- ple configurations. similar to the ram configuration, each function generator (lut) can implement a 16 x 1-bit rom. five configurations are available: rom16x1, rom32x1, rom64x1, rom128x1, and rom256x1. the rom elements are cas- cadable to implement wider or/and deeper rom. rom con- tents are loaded at configuration. ta b l e 1 1 shows the number of luts occupied by each configuration. shift registers each function generator can also be configured as a 16-bit shift register. the write operation is synchronous with a clock input (clk) and an optional clock enable, as shown in figure 27 . a dynamic read access is performed through the 4-bit address bus, a[3:0]. the configurable 16-bit shift regis- figure 24: distributed selectram (ram16x1s) figure 25: single-port distributed selectram (ram32x1s) a[3:0] d d di ws wsg we wclk ram 16x1s d q ram we ck a[4:1] wg[4:1] output registered output (optional) (sr) 4 4 (by) ds031_02_100900 a[3:0] d wsg f5mux we wclk ram 32x1s d q we we0 ck wsf d di ws ram g[4:1] a[4] wg[4:1] d di ws ram f[4:1] wf[4:1] output registered output (optional) (sr) 4 (by) (bx) 4 ds083-2_10_050901 figure 26: dual-port distributed selectram (ram16x1d) table 11: rom configuration rom number of luts 16 x 1 1 32 x 1 2 64 x 1 4 128 x 1 8 (1 clb) 256 x 1 16 (2 clbs) a[3:0] d wsg we wclk ram 16x1d we ck d di ws ram g[4:1] wg[4:1] dual_port ram dual_port 4 (by) dpra[3:0] spo a[3:0] wsg we ck d di ws g[4:1] wg[4:1] dpo 4 4 ds031_04_110100 (sr)
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 51 advance product specification 1-800-255-7778 ter cannot be set or reset. the read is asynchronous; how- ever, the storage element or flip-flop is available to implement a synchronous read. any of the 16 bits can be read out asynchronously by varying the address. the stor- age element should always be used with a constant address. for example, when building an 8-bit shift register and configuring the addresses to point to the 7th bit, the 8th bit can be the flip-flop. the overall system performance is improved by using the superior clock-to-out of the flip-flops. an additional dedicated connection between shift registers allows connecting the last bit of one shift register to the first bit of the next, without using the ordinary lut output. (see figure 28 .) longer shift registers can be built with dynamic access to any bit in the chain. the shift register chaining and the muxf5, muxf6, and muxf7 multiplexers allow up to a 128-bit shift register with addressable access to be implemented in one clb. figure 27: shift register configurations a[3:0] shiftin shiftout d(by) d mc15 di wsg ce (sr) clk srlc16 d q shift-reg we ck a[4:1] output registered output (optional) 4 ds031_05_110600 ws figure 28: cascadable shift register srlc16 mc15 mc15 d srlc16 di shiftin cascadable out slice s0 slice s1 slice s2 slice s3 1 shift chain in clb clb ds031_06_110200 ff ff d srlc16 mc15 mc15 d srlc16 di shiftin shiftout ff ff d srlc16 mc15 mc15 d srlc16 di di shiftin in shiftout ff ff d srlc16 mc15 mc15 d srlc16 di shiftout ff ff d di di di out
functional description: fpga r 52 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification multiplexers virtex-ii pro function generators and associated multiplex- ers can implement the following:  4:1 multiplexer in one slice  8:1 multiplexer in two slices  16:1 multiplexer in one clb element (4 slices)  32:1 multiplexer in two clb elements (8 slices) each virtex-ii pro slice has one muxf5 multiplexer and one muxfx multiplexer. the muxfx multiplexer imple- ments the muxf6, muxf7, or muxf8, as shown in figure 29 . each clb element has two muxf6 multiplexers, one muxf7 multiplexer and one muxf8 multiplexer. exam- ples of multiplexers are shown in the virtex-ii pro user guide . any lut can implement a 2:1 multiplexer. fast lookahead carry logic dedicated carry logic provides fast arithmetic addition and subtraction. the virtex-ii pro clb has two separate carry chains, as shown in the figure 30 . the height of the carry chains is two bits per slice. the carry chain in the virtex-ii pro device is running upward. the ded- icated carry path and carry multiplexer (muxcy) can also be used to cascade function generators for implementing wide logic functions. figure 29: muxf5 and muxfx multiplexers slice s1 slice s0 slice s3 slice s2 clb ds031_08_110200 f5 f6 f5 f7 f5 f6 f5 f8 muxf8 combines the two muxf7 outputs (two clbs) muxf6 combines the two muxf5 outputs from slices s2 and s3 muxf7 combines the two muxf6 outputs from slices s0 and s2 muxf6 combines the two muxf6 outputs from slices s0 and s1 g f g f g f g f
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 53 advance product specification 1-800-255-7778 arithmetic logic the arithmetic logic includes an xor gate that allows a 2-bit full adder to be implemented within a slice. in addition, a dedicated and (mult_and) gate (shown in figure 22 ) improves the efficiency of multiplier implementation. figure 30: fast carry logic path ff lut oi muxcy ff lut oi muxcy ff lut oi muxcy ff lut oi muxcy cin cin cin cout ff lut oi muxcy ff lut oi muxcy ff lut oi muxcy ff lut oi muxcy cin cout cout to cin of s2 of the next clb cout to s0 of the next clb (first carry chain) (second carry chain) slice s1 slice s0 slice s3 slice s2 clb ds031_07_110200
functional description: fpga r 54 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification sum of products each virtex-ii pro slice has a dedicated or gate named orcy, oring together outputs from the slices carryout and the orcy from an adjacent slice. the orcy gate with the dedicated sum of products (sop) chain are designed for implementing large, flexible sop chains. one input of each orcy is connected through the fast sop chain to the output of the previous orcy in the same slice row. the second input is connected to the output of the top muxcy in the same slice, as shown in figure 31 . luts and muxcys can implement large and gates or other combinatorial logic functions. figure 32 illustrates lut and muxcy resources configured as a 16-input and gate. figure 31: horizontal cascade chain muxcy 4 muxcy 4 slice 1 ds031_64_110300 orcy lut lut muxcy 4 muxcy 4 slice 0 v cc lut lut muxcy 4 muxcy 4 slice 3 orcy lut lut muxcy 4 muxcy 4 slice 2 v cc lut lut sop clb muxcy 4 muxcy 4 slice 1 orcy lut lut muxcy 4 muxcy 4 slice 0 v cc lut lut muxcy 4 muxcy 4 slice 3 orcy lut lut muxcy 4 muxcy 4 slice 2 v cc lut lut clb figure 32: wide-input and gate (16 inputs) muxcy and 4 16 muxcy 4 ? 0 ? 01 01 ? 0 ? 01 ? 0 ? muxcy 4 slice out out slice lut ds031_41_110600 lut lut v cc muxcy 4 01 lut
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 55 advance product specification 1-800-255-7778 3-state buffers introduction each virtex-ii pro clb contains two 3-state drivers (tbufs) that can drive on-chip buses. each 3-state buffer has its own 3-state control pin and its own input pin. each of the four slices have access to the two 3-state buff- ers through the switch matrix, as shown in figure 33 . tbufs in neighboring clbs can access slice outputs by direct connects. the outputs of the 3-state buffers drive hor- izontal routing resources used to implement 3-state buses. the 3-state buffer logic is implemented using and-or logic rather than 3-state drivers, so that timing is more predict- able and less load dependant especially with larger devices. locations / organization four horizontal routing resources per clb are provided for on-chip 3-state buses. each 3-state buffer has access alter- nately to two horizontal lines, which can be partitioned as shown in figure 34 . the switch matrices corresponding to selectram memory and multiplier or i/o blocks are skipped. number of 3-state buffers ta b l e 1 2 shows the number of 3-state buffers available in each virtex-ii pro device. the number of 3-state buffers is twice the number of clb elements. clb/slice configurations ta b l e 1 3 summarizes the logic resources in one clb. all of the clbs are identical and each clb or slice can be imple- mented in one of the configurations listed. ta bl e 1 4 shows the available resources in all clbs. figure 33: virtex-ii pro 3-state buffers slice s3 slice s2 slice s1 slice s0 switch matrix ds031_37_060700 tbuf tbuf table 12: virtex-ii pro 3-state buffers device 3-state buffers per row total number of 3-state buffers xc2vp2 44 704 xc2vp4 44 1,760 xc2vp7 68 2,720 xc2vp20 92 5,152 xc2vp50 140 12,320 figure 34: 3-state buffer connection to horizontal lines switch matrix clb-ii switch matrix clb-ii ds031_09_032700 programmable connection 3 - state lines table 13: logic resources in one clb slices luts flip-flops mult_ands arithmetic & carry-chains sop chains distributed selectram shift registers tbuf 4 8 8 8 2 2 128 bits 128 bits 2
functional description: fpga r 56 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification 18 kb block selectram resources introduction virtex-ii pro devices incorporate large amounts of 18 kb block selectram. these complement the distributed selec- tram resources that provide shallow ram structures imple- mented in clbs. each virtex-ii pro block selectram is an 18 kb true dual-port ram with two independently clocked and independently controlled synchronous ports that access a common storage area. both ports are functionally identical. clk, en, we, and ssr polarities are defined through configuration. each port has the following types of inputs: clock and clock enable, write enable, set/reset, and address, as well as separate data/parity data inputs (for write) and data/parity data outputs (for read). operation is synchronous; the block selectram behaves like a register. control, address and data inputs must (and need only) be valid during the set-up time window prior to a rising (or falling, a configuration option) clock edge. data outputs change as a result of the same clock edge. configuration the virtex-ii pro block selectram supports various config- urations, including single- and dual-port ram and various data/address aspect ratios. supported memory configura- tions for single- and dual-port modes are shown in ta b l e 1 5 . single-port configuration as a single-port ram, the block selectram has access to the 18 kb memory locations in any of the 2k x 9-bit, 1k x 18-bit, or 512 x 36-bit configurations and to 16 kb memory locations in any of the 16k x 1-bit, 8k x 2-bit, or 4k x 4-bit configurations. the advantage of the 9-bit, 18-bit and 36-bit widths is the ability to store a parity bit for each eight bits. parity bits must be generated or checked exter- nally in user logic. in such cases, the width is viewed as 8 + 1, 16 + 2, or 32 + 4. these extra parity bits are stored and behave exactly as the other bits, including the timing parameters. video applications can use the 9-bit ratio of virtex-ii pro block selectram memory to advantage. each block selectram cell is a fully synchronous memory as illustrated in figure 35 . input data bus and output data bus widths are identical. dual-port configuration as a dual-port ram, each port of block selectram has access to a common 18 kb memory resource. these are fully synchronous ports with independent control signals for each port. the data widths of the two ports can be config- ured independently, providing built-in bus-width conversion. ta b l e 1 6 illustrates the different configurations available on ports a and b. table 14: virtex-ii pro logic resources available in all clbs device clb array: row x column number of slices number of luts max distributed selectram or shift register (bits) number of flip-flops number of carry chains (1) number of sop chains (1) xc2vp2 16 x 22 1,408 2,816 45,056 2,816 44 32 xc2vp4 40 x 22 3,008 6,016 96,256 6,016 44 80 xc2vp7 40 x 34 4,928 9,856 157,696 9,856 68 80 xc2vp20 56 x 46 9,280 18,560 296,960 18,560 92 112 xc2vp50 88 x 70 22,592 45,184 722,944 45,184 140 176 notes: 1. the carry-chains and sop chains can be split or cascaded. table 15: dual- and single-port configurations 16k x 1 bit 2k x 9 bits 8k x 2 bits 1k x 18 bits 4k x 4 bits 512 x 36 bits figure 35: 18 kb block selectram memory in single-port mode dop dip addr we en ssr clk 18-kbit block selectram ds031_10_102000 di do
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 57 advance product specification 1-800-255-7778 if both ports are configured in either 2k x 9-bit, 1k x 18-bit, or 512 x 36-bit configurations, the 18 kb block is accessible from port a or b. if both ports are configured in either 16k x 1-bit, 8k x 2-bit. or 4k x 4-bit configurations, the 16 k-bit block is accessible from port a or port b. all other configu- rations result in one port having access to an 18 kb memory block and the other port having access to a 16 k-bit subset of the memory block equal to 16 kbs. each block selectram cell is a fully synchronous memory, as illustrated in figure 36 . the two ports have independent inputs and outputs and are independently clocked. port aspect ratios ta b l e 1 7 shows the depth and the width aspect ratios for the 18 kb block selectram. virtex-ii pro block selectram also includes dedicated routing resources to provide an efficient interface with clbs, block selectram, and multipliers. read/write operations the virtex-ii pro block selectram read operation is fully synchronous. an address is presented, and the read opera- tion is enabled by control signal ena or enb. then, depending on clock polarity, a rising or falling clock edge causes the stored data to be loaded into output registers. the write operation is also fully synchronous. data and address are presented, and the write operation is enabled by control signals wea and web in addition to ena or enb. then, again depending on the clock input mode, a ris- ing or falling clock edge causes the data to be loaded into the memory cell addressed. a write operation performs a simultaneous read operation. three different options are available, selected by configura- tion: 1. write_first the write_first option is a transparent mode. the same clock edge that writes the data input (di) into the table 16: dual-port mode configurations port a 16k x 1 16k x 1 16k x 1 16k x 1 16k x 1 16k x 1 port b 16k x 1 8k x 2 4k x 4 2k x 9 1k x 18 512 x 36 port a 8k x 2 8k x 2 8k x 2 8k x 2 8k x 2 port b 8k x 2 4k x 4 2k x 9 1k x 18 512 x 36 port a 4k x 4 4k x 4 4k x 4 4k x 4 port b 4k x 4 2k x 9 1k x 18 512 x 36 port a 2k x 9 2k x 9 2k x 9 port b 2k x 9 1k x 18 512 x 36 port a 1k x 18 1k x 18 port b 1k x 18 512 x 36 port a 512 x 36 port b 512 x 36 figure 36: 18 kb block selectram in dual-port mode dopa dopb dipa addra wea ena ssra clka dipb addrb web enb ssrb clkb 18-kbit block selectram ds031_11_102000 dob doa dia dib table 17: 18 kb block selectram port aspect ratio width depth address bus data bus parity bus 1 16,384 addr[13:0] data[0] n/a 2 8,192 addr[12:0] data[1:0] n/a 4 4,096 addr[11:0] data[3:0] n/a 9 2,048 addr[10:0] data[7:0] parity[0] 18 1,024 addr[9:0] data[15:0] parity[1:0] 36 512 addr[8:0] data[31:0] parity[3:0]
functional description: fpga r 58 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification memory also transfers di into the output registers do, as shown in figure 37 . 2. read_first the read_first option is a read-before-write mode. the same clock edge that writes data input (di) into the memory also transfers the prior content of the memory cell addressed into the data output registers do, as shown in figure 38 . 3. no_change the no_change option maintains the content of the out- put registers, regardless of the write operation. the clock edge during the write mode has no effect on the content of the data output register do. when the port is configured as no_change, only a read operation loads a new value in the output register do, as shown in figure 39 . control pins and attributes virtex-ii pro selectram memory has two independent ports with the control signals described in ta b l e 1 8 . all con- trol inputs including the clock have an optional inversion. initial memory content is determined by the init_xx attributes. separate attributes determine the output register value after device configuration (init) and ssr is asserted (srval). both attributes (init_b and srval) are available for each port when a block selectram resource is config- ured as dual-port ram. total amount of selectram memory virtex-ii pro selectram memory blocks are organized in multiple columns. the number of blocks per column depends on the row size, the number of processor blocks, and the number of rocket i/o transceivers. ta b l e 1 9 shows the number of columns as well as the total amount of block selectram memory available for each virtex-ii pro device. the 18 kb selectram blocks are cascadable to implement deeper or wider single- or dual-port memory resources. figure 37: write_first mode figure 38: read_first mode clk we data_in data_in new aa address internal memory do data_out = data_in data_out di ds083-2_14_050901 new ram contents new old clk we data_in data_in new aa old address internal memory do prior stored data data_out di ds083-2_13_050901 ram contents new old figure 39: no_change mode table 18: control functions control signal function clk read and write clock en enable affects read, write, set, reset we write enable ssr set do register to srval (attribute) clk we data_in data_in new aa last read cycle content (no change) address internal memory do no change during write data_out di ds083-2_12_050901 ram contents new old
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 59 advance product specification 1-800-255-7778 figure 40 shows the layout of the block ram columns in the xc2vp4 device. 18-bit x 18-bit multipliers introduction a virtex-ii pro multiplier block is an 18-bit by 18-bit 2 ? s com- plement signed multiplier. virtex-ii pro devices incorporate many embedded multiplier blocks. these multipliers can be associated with an 18 kb block selectram resource or can be used independently. they are optimized for high-speed operations and have a lower power consumption compared to an 18-bit x 18-bit multiplier in slices. each selectram memory and multiplier block is tied to four switch matrices, as shown in figure 41 . association with block selectram memory the interconnect is designed to allow selectram memory and multiplier blocks to be used at the same time, but some interconnect is shared between the selectram and the multiplier. thus, selectram memory can be used only up to 18 bits wide when the multiplier is used, because the multi- plier shares inputs with the upper data bits of the selectram memory. this sharing of the interconnect is optimized for an 18-bit-wide block selectram resource feeding the multi- plier. the use of selectram memory and the multiplier with an accumulator in luts allows for implementation of a digi- tal signal processor (dsp) multiplier-accumulator (mac) function, which is commonly used in finite and infinite impulse response (fir and iir) digital filters. configuration the multiplier block is an 18-bit by 18-bit signed multiplier (2's complement). both a and b are 18-bit-wide inputs, and the output is 36 bits. figure 42 shows a multiplier block. table 19: virtex-ii pro selectram memory available device columns total selectram memory blocks in kb in bits xc2vp2 4 12 216 221,184 xc2vp4 4 28 504 516,096 xc2vp7 6 44 792 811,008 xc2vp20 8 88 1,584 1,622,016 xc2vp50 12 216 3,888 3,981,312 figure 40: xc2vp4 block ram column layout bram multiplier blocks ppc405 cpu clbs clbs clbs clbs clbs ds083-2_11_010802 tm rocket i/o serial transceivers tm rocket i/o serial transceivers dcm dcm dcm dcm figure 41: selectram and multiplier blocks figure 42: multiplier block switch matrix switch matrix 18-kbit block selectram 18 x 18 multiplier switch matrix switch matrix ds031_33_101000 mult 18 x 18 a[17:0] p[35:0] b[17:0] multiplier block ds031_40_100400
functional description: fpga r 60 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification locations / organization multiplier organization is identical to the 18 kb selectram organization, because each multiplier is associated with an 18 kb block selectram resource. in addition to the built-in multiplier blocks, the clb elements have dedicated logic to implement efficient multipliers in logic. (refer to configurable logic blocks (clbs) , page 47 ). global clock multiplexer buffers virtex-ii pro devices have 16 clock input pins that can also be used as regular user i/os. eight clock pads center on both the top edge and the bottom edge of the device, as illustrated in figure 43 . the global clock multiplexer buffer represents the input to dedicated low-skew clock tree distribution in virtex-ii pro devices. like the clock pads, eight global clock multiplexer buffers are on the top edge of the device and eight are on the bottom edge. each global clock multiplexer buffer can be driven either by the clock pad to distribute a clock directly to the device, or by the digital clock manager (dcm), discussed in digital clock manager (dcm) , page 62 . each global clock multi- plexer buffer can also be driven by local interconnects. the dcm has clock output(s) that can be connected to global clock multiplexer buffer inputs, as shown in figure 44 . global clock buffers are used to distribute the clock to some or all synchronous logic elements (such as registers in clbs and iobs, and selectram blocks. eight global clocks can be used in each quadrant of the virtex-ii pro device. designers should consider the clock distribution detail of the device prior to pin-locking and floor- planning. (see the virtex-ii pro user guide .) table 20: multiplier resources device columns total multipliers xc2vp2 4 12 xc2vp4 4 28 xc2vp7 6 44 xc2vp20 8 88 xc2vp50 12 216 figure 43: virtex-ii pro clock pads 8 clock pads 8 clock pads virtex-ii pro device ds083-2_42_061401 figure 44: virtex-ii pro clock multiplexer buffer configuration clock pad local interconnect clock pad clock buffer clock multiplexer i o clock distribution clkin clkout dcm ds083-2_43_122001
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 61 advance product specification 1-800-255-7778 figure 45 shows clock distribution in virtex-ii pro devices. in each quadrant, up to eight clocks are organized in clock rows. a clock row supports up to 16 clb rows (eight up and eight down). to reduce power consumption, any unused clock branches remain static. global clocks are driven by dedicated clock buffers (bufg), which can also be used to gate the clock (bufgce) or to mul- tiplex between two independent clock inputs (bufgmux). the most common configuration option of this element is as a buffer. a bufg function in this (global buffer) mode, is shown in figure 46 . the virtex-ii pro global clock buffer bufg can also be con- figured as a clock enable/disable circuit ( figure 47 ), as well as a two-input clock multiplexer ( figure 48 ). a functional description of these two options is provided below. each of them can be used in either of two modes, selected by con- figuration: rising clock edge or falling clock edge. this section describes the rising clock edge option. for the opposite option, falling clock edge, just change all "rising" references to "falling" and all "high" references to "low", except for the description of the ce and s levels. the rising clock edge option uses the bufgce and bufgmux prim- itives. the falling clock edge option uses the bufgce_1 and bufgmux_1 primitives. bufgce if the ce input is active (high) prior to the incoming rising clock edge, this low-to-high-to-low clock pulse passes through the clock buffer. any level change of ce during the incoming clock high time has no effect. if the ce input is inactive (low) prior to the incoming rising clock edge, the following clock pulse does not pass through the clock buffer, and the output stays low. any level change of ce during the incoming clock high time has no effect. ce must not change during a short setup window just prior to the rising clock edge on the bufgce input i. violating this setup time requirement can result in an undefined runt pulse output. bufgmux bufgmux can switch between two unrelated, even asyn- chronous clocks. basically, a low on s selects the i 0 input, a high on s selects the i 1 input. switching from one clock to the other is done in such a way that the output high and low time is never shorter than the shortest high or low time of figure 45: virtex-ii pro clock distribution 8 8 8 8 nw ne sw se ds083-2_45_122001 8 bufgmux 8 max 8 bufgmux 16 clocks nw ne sw se 8 bufgmux 8 bufgmux 16 clocks figure 46: virtex-ii pro bufg function o i bufg ds031_61_101200 figure 47: virtex-ii pro bufgce function o i ce bufgce ds031_62_101200
functional description: fpga r 62 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification either input clock. as long as the presently selected clock is high, any level change of s has no effect . if the presently selected clock is low while s changes, or if it goes low after s has changed, the output is kept low until the other ("to-be-selected") clock has made a transition from high to low. at that instant, the new clock starts driv- ing the output. the two clock inputs can be asynchronous with regard to each other, and the s input can change at any time, except for a short setup time prior to the rising edge of the presently selected clock; that is, prior to the rising edge of the bufgmux output o. violating this setup time requirement can result in an undefined runt pulse output. all virtex-ii pro devices have 16 global clock multiplexer buffers. figure 49 shows a switchover from clk0 to clk1.  the current clock is clk0.  s is activated high.  if clk0 is currently high, the multiplexer waits for clk0 to go low.  once clk0 is low, the multiplexer output stays low until clk1 transitions high to low.  when clk1 transitions from high to low, the output switches to clk1.  no glitches or short pulses can appear on the output. digital clock manager (dcm) the virtex-ii pro dcm offers a wide range of powerful clock management features.  clock de-skew : the dcm generates new system clocks (either internally or externally to the fpga), which are phase-aligned to the input clock, thus eliminating clock distribution delays.  frequency synthesis : the dcm generates a wide range of output clock frequencies, performing very flexible clock multiplication and division.  phase shifting : the dcm provides both coarse phase shifting and fine-grained phase shifting with dynamic phase shift control. the dcm utilizes fully digital delay lines allowing robust high-precision control of clock phase and frequency. it also utilizes fully digital feedback systems, operating dynamically to compensate for temperature and voltage variations dur- ing operation. up to four of the nine dcm clock outputs can drive inputs to global clock buffers or global clock multiplexer buffers simul- taneously (see figure 50 ). all dcm clock outputs can simul- taneously drive general routing resources, including routes to output buffers. the dcm can be configured to delay the completion of the virtex-ii pro configuration process until after the dcm has achieved lock. this guarantees that the chip does not begin operating until after the system clocks generated by the dcm have stabilized. the dcm has the following general control signals:  rst input pin : resets the entire dcm  locked output pin: asserted high when all enabled dcm circuits have locked.  status output pins (active high): shown in ta bl e 2 1 . figure 48: virtex-ii pro bufgmux function figure 49: clock multiplexer waveform diagram o i 0 i 1 s bufgmux ds083-2_63_121701 s clk0 clk1 out wait for low switch ds083-2_46_121701 figure 50: digital clock manager clkin clkfb clk180 clk270 clk0 clk90 clk2x clk2x180 clkdv dcm ds031_67_112900 clkfx clkfx180 locked status[7:0] psdone rst dssen psincdec psen psclk clock signal control signal
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 63 advance product specification 1-800-255-7778 clock de-skew the dcm de-skews the output clocks relative to the input clock by automatically adjusting a digital delay line. addi- tional delay is introduced so that clock edges arrive at inter- nal registers and block rams simultaneously with the clock edges arriving at the input clock pad. alternatively, external clocks, which are also de-skewed relative to the input clock, can be generated for board-level routing. all dcm output clocks are phase-aligned to clk0 and, therefore, are also phase-aligned to the input clock. to achieve clock de-skew, the clkfb input must be con- nected, and its source must be either clk0 or clk2x. note that clkfb must always be connected, unless only the clkfx or clkfx180 outputs are used and de-skew is not required. frequency synthesis the dcm provides flexible methods for generating new clock frequencies. each method has a different operating frequency range and different ac characteristics. the clk2x and clk2x180 outputs double the clock frequency. the clkdv output creates divided output clocks with divi- sion options of 1.5, 2, 2.5, 3, 3.5, 4, 4.5, 5, 5.5, 6, 6.5, 7, 7.5, 8, 9, 10, 11, 12, 13, 14, 15, and 16. the clkfx and clkfx180 outputs can be used to pro- duce clocks at the following frequency: where m and d are two integers. specifications for m and d are provided under dcm timing parameters . by default, m = 4 and d = 1, which results in a clock output frequency four times faster than the clock input frequency (clkin). clk2x180 is phase shifted 180 degrees relative to clk2x. clkfx180 is phase shifted 180 degrees relative to clkfx. all frequency synthesis outputs automatically have 50/50 duty cycles, with the exception of the clkdv output when performing a non-integer divide in high-frequency mode. see ta b l e 2 2 for more details. note that clk2x and clk2x180 are not available in high-frequency mode. phase shifting the dcm provides additional control over clock skew through either coarse or fine-grained phase shifting. the clk0, clk90, clk180, and clk270 outputs are each phase shifted by ? of the input clock period relative to each other, providing coarse phase control. note that clk90 and clk270 are not available in high-frequency mode. fine-phase adjustment affects all nine dcm output clocks. when activated, the phase shift between the rising edges of clkin and clkfb is a specified fraction of the input clock period. in variable mode, the phase_shift value can also be dynamically incremented or decremented as determined by psincdec synchronously to psclk, when the psen input is active. figure 51 illustrates the effects of fine-phase shifting. for more information on dcm features, see the virtex-ii pro user guide . ta b l e 2 3 lists fine-phase shifting control pins, when used in variable mode. table 21: dcm status pins status pin function 0 phase shift overflow 1 clkin stopped 2 clkfx stopped 3n/a 4n/a 5n/a 6n/a 7n/a freq clkfx md ? () freq clkin ? = table 22: clkdv duty cycle for non-integer divides clkdv_divide duty cycle 1.5 1/ 3 2.5 2 / 5 3.5 3 / 7 4.5 4 / 9 5.5 5 / 11 6.5 6 / 13 7.5 7 / 15 table 23: fine phase shifting control pins control pin direction function psincdec in increment or decrement psen in enable phase shift psclk in clock for phase shift psdone out active when completed
functional description: fpga r 64 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification two separate components of the phase shift range must be understood:  phase_shift attribute range  fine_shift_range dcm timing parameter range the phase_shift attribute is the numerator in the following equation: phase shift (ns) = ( phase_shift /256) * period clkin the full range of this attribute is always -255 to +255, but its practical range varies with clkin frequency, as constrained by the fine_shift_range component, which represents the total delay achievable by the phase shift delay line. total delay is a function of the number of delay taps used in the circuit. across process, voltage, and temperature, this abso- lute range is guaranteed to be as specified under dcm tim- ing parameters . absolute range (fixed mode) = fine_shift_range absolute range (variable mode) = fine_shift_range /2 the reason for the difference between fixed and variable modes is as follows. for variable mode to allow symmetric, dynamic sweeps from -255/256 to +255/256, the dcm sets the "zero phase skew" point as the middle of the delay line, thus dividing the total delay line range in half. in fixed mode, since the phase_shift value never changes after configu- ration, the entire delay line is available for insertion into either the clkin or clkfb path (to create either positive or negative skew). taking both of these components into consideration, the fol- lowing are some usage examples:  if period clkin = 2 * fine_shift_range , then phase_shift in fixed mode is limited to 128, and in variable mode it is limited to 64.  if period clkin = fine_shift_range , then phase_shift in fixed mode is limited to 255, and in variable mode it is limited to 128.  if period clkin 0.5 * fine_shift_range , then phase_shift is limited to 255 in either mode. operating modes the frequency ranges of dcm input and output clocks depend on the operating mode specified, either low-frequency mode or high-frequency mode, according to ta b l e 2 4 . for actual values, see virtex-ii pro switching characteristics (module 3) . the clk2x, clk2x180, figure 51: fine-phase shifting effects clkout_phase_shift = fixed clkout_phase_shift = variable clkout_phase_shift = none clkin clkfb clkin clkin clkfb (ps/256) x period clkin (ps negative) (ps/256) x period clkin (ps positive) clkfb (ps/256) x period clkin (ps negative) (ps/256) x period clkin (ps positive) ds031_48_110300 table 24: dcm frequency ranges output clock low-frequency mode high-frequency mode clkin input clk output clkin input clk output clk0, clk180 clkin_freq_dll_lf clkout_freq_1x_lf clkin_freq_dll_hf clkout_freq_1x_hf clk90, clk270 clkin_freq_dll_lf clkout_freq_1x_lf na na clk2x, clk2x180 clkin_freq_dll_lf clkout_freq_2x_lf na na clkdv clkin_freq_dll_lf clkout_freq_dv_lf clkin_freq_dll_hf clkout_freq_dv_hf clkfx, clkfx180 clkin_freq_fx_lf clkout_freq_fx_lf clkin_freq_fx_hf clkout_freq_fx_hf
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 65 advance product specification 1-800-255-7778 clk90, and clk270 outputs are not available in high-fre- quency mode. high or low-frequency mode is selected by an attribute. routing dcm and mgt locations/organization virtex-ii pro dcms and serial transceivers (mgts) are placed on the top and bottom of each block ram and multi- plier column in some combination, as shown in ta b l e 2 5 . the number of dcms and rocket i/o transceiver cores total to twice the number of columns in the device. refer to figure 40, page 59 for an illustration of this in the xc2vp4 device. place-and-route software takes advantage of this regular array to deliver optimum system performance and fast com- pile times. the segmented routing resources are essential to guarantee ip cores portability and to efficiently handle an incremental design flow that is based on modular imple- mentations. total design time is reduced due to fewer and shorter design iterations. hierarchical routing resources most virtex-ii pro signals are routed using the global rout- ing resources, which are located in horizontal and vertical routing channels between each switch matrix. as shown in figure 52, page 66 , virtex-ii pro has fully buff- ered programmable interconnections, with a number of resources counted between any two adjacent switch matrix rows or columns. fanout has minimal impact on the perfor- mance of each net.  the long lines are bidirectional wires that distribute signals across the device. vertical and horizontal long lines span the full height and width of the device.  the hex lines route signals to every third or sixth block away in all four directions. organized in a staggered pattern, hex lines can only be driven from one end. hex-line signals can be accessed either at the endpoints or at the midpoint (three blocks from the source).  the double lines route signals to every first or second block away in all four directions. organized in a staggered pattern, double lines can be driven only at their endpoints. double-line signals can be accessed either at the endpoints or at the midpoint (one block from the source).  the direct connect lines route signals to neighboring blocks: vertically, horizontally, and diagonally.  the fast connect lines are the internal clb local interconnections from lut outputs to lut inputs. dedicated routing in addition to the global and local routing resources, dedi- cated signals are available.  there are eight global clock nets per quadrant. (see global clock multiplexer buffers , page 60 .)  horizontal routing resources are provided for on-chip 3-state buses. four partitionable bus lines are provided per clb row, permitting multiple buses within a row. (see 3-state buffers , page 55 .)  two dedicated carry-chain resources per slice column (two per clb column) propagate carry-chain muxcy output signals vertically to the adjacent slice. (see clb/slice configurations , page 55 .)  one dedicated sop chain per slice row (two per clb row) propagate orcy output logic signals horizontally to the adjacent slice. (see sum of products , page 54 .)  one dedicated shift-chain per clb connects the output of luts in shift-register mode to the input of the next lut in shift-register mode (vertically) inside the clb. (see shift registers , page 50 .) table 25: dcm organization device columns dcms mgts xc2vp2 4 4 4 xc2vp4 4 4 4 xc2vp7 6 4 8 xc2vp20 8 8 8 xc2vp50 12 8 16
functional description: fpga r 66 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification  configuration virtex-ii pro devices are configured by loading application specific configuration data into the internal configuration memory. configuration is carried out using a subset of the device pins, some of which are dedicated, while others can be re-used as general purpose inputs and outputs once configuration is complete. depending on the system design, several configuration modes are supported, selectable via mode pins. the mode pins m2, m1 and m0 are dedicated pins. an additional pin, hswap_en is used in conjunction with the mode pins to select whether user i/o pins have pull-ups during configura- tion. by default, hswap_en is tied high (internal pull-up) which shuts off the pull-ups on the user i/o pins during con- figuration. when hswap_en is tied low, user i/os have pull-ups during configuration. other dedicated pins are cclk (the configuration clock pin), done, prog_b, and the boundary-scan pins: tdi, tdo, tms, and tck. depending on the configuration mode chosen, cclk can be an output generated by the fpga, or an input accepting an externally generated clock. the configuration pins and boundary scan pins are independent of the v cco . the aux- iliary power supply (v ccaux ) of 2.5v is used for these pins. see virtex-ii pro switching characteristics (module 3) . a persist option is available which can be used to force the configuration pins to retain their configuration function even after device configuration is complete. if the persist option is not selected then the configuration pins with the exception of cclk, prog_b, and done can be used as user i/o in normal operation. the persist option does not apply to the boundary-scan related pins. the persist feature is valuable in applications which employ partial reconfiguration or reconfiguration on the fly. virtex-ii pro supports the following five configuration modes:  slave-serial mode  master-serial mode  slave selectmap mode  master selectmap mode  boundary-scan (jtag, ieee 1532) mode refer to table 26, page 67 . a detailed description of configuration modes is provided in the virtex-ii pro user guide. slave-serial mode in slave-serial mode, the fpga receives configuration data in bit-serial form from a serial prom or other serial source of configuration data. the cclk pin on the fpga is an input in this mode. the serial bitstream must be setup at the din input pin a short time before each rising edge of the externally generated cclk. multiple fpgas can be daisy-chained for configuration from a single source. after a particular fpga has been config- figure 52: hierarchical routing resources 24 horizontal long lines 24 vertical long lines 120 horizontal hex lines 120 vertical hex lines 40 horizontal double lines 40 vertical double lines 16 direct connections (total in all four directions) 8 fast connects ds031_60_110200
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 67 advance product specification 1-800-255-7778 ured, the data for the next device is routed internally to the dout pin. the data on the dout pin changes on the rising edge of cclk. slave-serial mode is selected by applying [ 111 ] to the mode pins (m2, m1, m0). a weak pull-up on the mode pins makes slave serial the default mode if the pins are left uncon- nected. master-serial mode in master-serial mode, the cclk pin is an output pin. it is the virtex-ii pro fpga device that drives the configuration clock on the cclk pin to a xilinx serial prom which in turn feeds bit-serial data to the din input. the fpga accepts this data on each rising cclk edge. after the fpga has been loaded, the data for the next device in a daisy-chain is presented on the dout pin after the rising cclk edge. the interface is identical to slave serial except that an inter- nal oscillator is used to generate the configuration clock (cclk). a wide range of frequencies can be selected for cclk which always starts at a slow default frequency. con- figuration bits then switch cclk to a higher frequency for the remainder of the configuration. slave selectmap mode the selectmap mode is the fastest configuration option. byte-wide data is written into the virtex-ii pro fpga device with a busy flag controlling the flow of data. an external data source provides a byte stream, cclk, an active low chip select (cs_b) signal and a write signal (rdwr_b). if busy is asserted (high) by the fpga, the data must be held until busy goes low. data can also be read using the selectmap mode. if rdwr_b is asserted, configuration data is read out of the fpga as part of a readback opera- tion. after configuration, the pins of the selectmap port can be used as additional user i/o. alternatively, the port can be retained to permit high-speed 8-bit readback using the per- sist option. multiple virtex-ii pro fpgas can be configured using the selectmap mode, and be made to start-up simultaneously. to configure multiple devices in this way, wire the individual cclk, data, rdwr_b, and busy pins of all the devices in parallel. the individual devices are loaded separately by deasserting the cs_b pin of each device in turn and writing the appropriate data. master selectmap mode this mode is a master version of the selectmap mode. the device is configured byte-wide on a cclk supplied by the virtex-ii pro fpga device. timing is similar to the slave serialmap mode except that cclk is supplied by the virtex-ii pro fpga. boundary-scan (jtag, ieee 1532) mode in boundary-scan mode, dedicated pins are used for config- uring the virtex-ii pro device. the configuration is done entirely through the ieee 1149.1 test access port (tap). virtex-ii pro device configuration using boundary scan is compliant with ieee 1149.1-1993 standard and the new ieee 1532 standard for in-system configurable (isc) devices. the ieee 1532 standard is backward compliant with the ieee 1149.1-1993 tap and state machine. the ieee standard 1532 for in-system configurable (isc) devices is intended to be programmed, reprogrammed, or tested on the board via a physical and logical protocol. con- figuration through the boundary-scan port is always avail- able, independent of the mode selection. selecting the boundary-scan mode simply turns off the other modes. table 26: virtex-ii pro configuration mode pin settings configuration mode (1) m2 m1 m0 cclk direction data width serial d out (2) master serial 000 out 1 yes slave serial 1 1 1 in 1 yes master selectmap 011 out 8 no slave selectmap 1 1 0 in 8 no boundary scan 1 0 1 n/a 1 no notes: 1. the hswap_en pin controls the pullups. setting m2, m1, and m0 selects the configuration mode, while the hswap_en pin controls whether or not the pullups are used. 2. daisy chaining is possible only in modes where serial d out is used. for example, in selectmap modes, the first device does not support daisy chaining of downstream devices.
functional description: fpga r 68 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification ta b l e 2 7 lists the total number of bits required to configure each device. configuration sequence the configuration of virtex-ii pro devices is a three-phase process. first, the configuration memory is cleared. next, configuration data is loaded into the memory, and finally, the logic is activated by a start-up process. configuration is automatically initiated on power-up unless it is delayed by the user. the init_b pin can be held low using an open-drain driver. an open-drain is required since init_b is a bidirectional open-drain pin that is held low by a virtex-ii pro fpga device while the configuration memory is being cleared. extending the time that the pin is low causes the configuration sequencer to wait. thus, configu- ration is delayed by preventing entry into the phase where data is loaded. the configuration process can also be initiated by asserting the prog_b pin. the end of the memory-clearing phase is signaled by the init_b pin going high, and the completion of the entire process is signaled by the done pin going high. the global set/reset (gsr) signal is pulsed after the last frame of configuration data is written but before the start-up sequence. the gsr signal resets all flip-flops on the device. the default start-up sequence is that one cclk cycle after done goes high, the global 3-state signal (gts) is released. this permits device outputs to turn on as neces- sary. one cclk cycle later, the global write enable (gwe) signal is released. this permits the internal storage ele- ments to begin changing state in response to the logic and the user clock. the relative timing of these events can be changed via con- figuration options in software. in addition, the gts and gwe events can be made dependent on the done pins of multiple devices all going high, forcing the devices to start synchronously. the sequence can also be paused at any stage, until lock has been achieved on any or all dcms, as well as dci. readback in this mode, configuration data from the virtex-ii pro fpga device can be read back. readback is supported only in the selectmap (master and slave) and boundary scan mode. along with the configuration data, it is possible to read back the contents of all registers, distributed selectram, and block ram resources. this capability is used for real-time debugging. for more detailed configuration information, see the virtex-ii pro user guide. bitstream encryption virtex-ii pro devices have an on-chip decryptor using one or two sets of three keys for triple-key data encryption stan- dard (des) operation. xilinx software tools offer an optional encryption of the configuration data (bitstream) with a tri- ple-key des determined by the designer. the keys are stored in the fpga by jtag instruction and retained by a battery connected to the v batt pin, when the device is not powered. virtex-ii pro devices can be config- ured with the corresponding encrypted bitstream, using any of the configuration modes described previously. a detailed description of how to use bitstream encryption is provided in the virtex-ii pro user guide . your local fae can also provide specific information on this feature. partial reconfiguration partial reconfiguration of virtex-ii pro devices can be accomplished in either slave selectmap mode or bound- ary-scan mode. instead of resetting the chip and doing a full configuration, new data is loaded into a specified area of the chip, while the rest of the chip remains in operation. data is loaded on a column basis, with the smallest load unit being a configuration ? frame ? of the bitstream (device size dependent). partial reconfiguration is useful for applications that require different designs to be loaded into the same area of a chip, or that require the ability to change portions of a design without having to reset or reconfigure the entire chip. table 27: virtex-ii pro bitstream lengths device number of configuration bits xc2vp2 1,305,440 xc2vp4 3,006,560 xc2vp7 4,485,472 xc2vp20 8,214,624 xc2vp50 19,021,408
virtex-ii pro platform fpgas: functional description r ds083-2 (v1.0) january 31, 2002 www.xilinx.com 69 advance product specification 1-800-255-7778 revision history this section records the change history for this module of the data sheet. virtex-ii pro data sheet modules the virtex-ii pro data sheet contains the following modules:  virtex-ii pro platform fpgas: introduction and overview (module 1)  virtex-ii pro platform fpgas: functional description (module 2)  virtex-ii pro platform fpgas: dc and switching characteristics (module 3)  virtex-ii pro platform fpgas: pinout information (module 4) date version revision 01/31/02 1.0 initial xilinx release.
virtex-ii pro data sheet modules r 70 www.xilinx.com ds083-2 (v1.0) january 31, 2002 1-800-255-7778 advance product specification
? 2002 xilinx, inc. all rights reserved. all xilinx trademarks, registered trademarks, patents, and disclaimers are as listed a t http://www.xilinx.com/legal.htm . all other trademarks and registered trademarks are the property of their respective owners. all specifications are subject to c hange without notice. ds083-3 (v1.0) january 31, 2002 www.xilinx.com 71 advance product specification 1-800-255-7778 6 virtex-ii pro electrical characteristics virtex ? -ii pro devices are provided in -8, -7, and -6 speed grades, with -8 having the highest performance. virtex-ii pro dc and ac characteristics are specified for both commercial and industrial grades. except the operat- ing temperature range or unless otherwise noted, all the dc and ac electrical parameters are the same for a particular speed grade (that is, the timing characteristics of a -6 speed grade industrial device are the same as for a -6 speed grade commercial device). however, only selected speed grades and/or devices might be available in the industrial range. all supply voltage and junction temperature specifications are representative of worst-case conditions. the parame- ters included are common to popular designs and typical applications. contact xilinx for design considerations requiring more detailed information. all specifications are subject to change without notice. virtex-ii pro dc characteristics 0 virtex-ii pro platform fpgas: dc and switching characteristics ds083-3 (v1.0) january 31, 2002 00 advance product specification r table 1: absolute maximum ratings symbol description units v ccint internal supply voltage relative to gnd ? 0.5 to 1.65 v v ccaux auxiliary supply voltage relative to gnd ? 0.5 to 3.45 v v cco output drivers supply voltage relative to gnd ? 0.5 to 3.45 v v batt key memory battery backup supply ? 0.5 to 3.45 v v ref input reference voltage ? 0.5 to 3.45 v v in input voltage relative to gnd (user and dedicated i/os) ? 0.5 (2) to 3.45 (4) v v ts voltage applied to 3-state output (user and dedicated i/os) ? 0.5 (3) to 3.45 (5) v v ccauxrx auxilliary supply voltage relative to analog ground, gnda (rocket i/o pins) ? 0.5 to 3.45 v v ccauxtx auxilliary supply voltage relative to analog ground, gnda (rocket i/o pins) ? 0.5 to 3.45 v v ttx terminal transmit supply voltage relative to gnd (rocket i/o pins) ? 0.5 to 3.45 v v trx terminal receive supply voltage relative to gnd (rocket i/o pins) ? 0.5 to 3.45 v t stg storage temperature (ambient) ? 65 to +150 c t sol maximum soldering temperature +220 c t j operating junction temperature +125 c notes: 1. stresses beyond those listed under absolute maximum ratings might cause permanent damage to the device. these are stress ratings only, and functional operation of the device at these or any other conditions beyond those listed under operating condi tions is not implied. exposure to absolute maximum ratings conditions for extended periods of time might affect device reliability. 2. for 3.3v i/o standards only, i/o input pin voltage, including negative undershoot, must not fall below 0.0v, either on a cont inuous or transient basis (i.e., no negative undershoot is allowed). see table 6, page 74 . 3. for 3.3v i/o standards only, i/o output pin voltage while in 3-state mode must not fall below 0.0v, either on a continuous or transient basis. see table 6, page 74 . 4. i/o input pin voltage, including overshoot, must not exceed 3.45v, either on a continuous or transient basis. 5. i/o output pin voltage while in 3-state mode must not exceed 3.45v, either on a continuous or transient basis.
virtex-ii pro electrical characteristics r 72 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification table 2: recommended operating conditions symbol description min max units v ccint internal supply voltage relative to gnd, t j =0 c to +85 c commercial 1.425 1.575 v internal supply voltage relative to gnd, t j = ? 40 c to +100 c industrial 1.425 1.575 v v ccaux (1) auxiliary supply voltage relative to gnd, t j =0 c to +85 c commercial 2.375 2.625 v auxiliary supply voltage relative to gnd, t j = ? 40 c to +100 c industrial 2.375 2.625 v v cco (2) supply voltage relative to gnd, t j =0 c to +85 c commercial 1.2 3.45 (4) v supply voltage relative to gnd, t j = ? 40 c to +100 c industrial 1.2 3.45 (4) v v batt (3) battery voltage relative to gnd, t j =0 c to +85 c commercial 1.0 2.63 v battery voltage relative to gnd, t j = ? 40 c to +100 c industrial 1.0 2.63 v v ccauxrx, v ccauxtx auxilliary supply voltage relative to gnda commercial 2.375 2.625 v auxilliary supply voltage relative to gnda industrial 2.375 2.625 v v ttx, v trx terminal supply voltage relative to gnd commercial 1.8 2.625 v terminal supply voltage relative to gnd industrial 1.8 2.625 v notes: 1. for lvds operation, v ccaux min is 2.37v and max is 2.63v. 2. configuration data is retained even if v cco drops to 0v. 3. if battery is not used, do not connect v batt . 4. for 3.3v operation, see table 4-1, page 448 , for banking information. table 3: dc characteristics over recommended operating conditions symbol description device min typ max units v drint data retention v ccint voltage (below which configuration data might be lost) all 1.2 v v dri data retention v ccaux voltage (below which configuration data might be lost) all v i ref v ref current per bank all a i l input or output leakage current per pin all a c in input capacitance (sample tested) all pf i rpu pad pull-up (when selected) @ v in = 0v, v cco = 3.3v (sample tested) all note (1) ma i rpd pad pull-down (when selected) @ v in = 3.6v (sample tested) all note (1) ma i ccauxtx operating v ccauxtx supply current 60 ma i ccauxrx operating v ccauxrx supply current 35 ma i ttx operating i ttx supply current when transmitter is ac coupled 30 ma operating i ttx supply current when transmitter is dc coupled 15 ma i trx operating i trx supply current when receiver is ac coupled tbd ma operating i trx supply current when receiver is dc coupled 15 ma
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 73 advance product specification 1-800-255-7778 power-on power supply requirements xilinx fpgas require a certain amount of supply current during power-on to insure proper device operation. the actual current consumed depends on the power-on ramp rate of the power supply. the v ccint , v ccaux , and v cco power supplies must ramp on no faster than 100 s and no slower than 50 ms. ramp on is defined as: 0 v dc to minimum supply voltages (see table 2, page 72 ). v ccaux and v cco for bank 4 must be connected together (2.5 v dc ) to meet the following specification. table 5, page 74 , shows the minimum current required by virtex-ii pro devices for proper power on and configuration. power supplies can be turned on in any sequence, as long as v ccaux and v cco are connected together for bank 4. if any v cco bank powers up before v ccaux , then each bank draws up to 600 ma, worst case, until the v ccaux powers on. this does not harm the device. (note that the 600 ma is peak transient current , which eventually dissi- pates even if v ccaux does not power on.) p cpu power dissipation of powerpc 405 processor block mw / mhz p rxtx power dissipation of rocket i/o @ 3.125 gb/s per channel 350 mw power dissipation of rocket i/o @ 2.5 gb/s per channel 310 mw power dissipation of rocket i/o @ 1.25 gb/s per channel 230 mw notes: 1. internal pull-up and pull-down resistors guarantee valid logic levels at unconnected input pins. these pull-up and pull-down resistors do not guarantee valid logic levels when input pins are connected to other circuits. table 3: dc characteristics over recommended operating conditions (continued) symbol description device min typ max units table 4: quiescent supply current symbol description device min typ max units i ccintq quiescent v ccint supply current xc2vp2 ma xc2vp4 ma xc2vp7 ma xc2vp20 ma xc2vp50 ma i ccoq quiescent v cco supply current xc2vp2 ma xc2vp4 ma xc2vp7 ma xc2vp20 ma xc2vp50 ma i ccauxq quiescent v ccaux supply current xc2vp2 ma xc2vp4 ma xc2vp7 ma xc2vp20 ma xc2vp50 ma notes: 1. with no output current loads, no active input pull-up resistors, all i/o pins are 3-state and floating. 2. if dci or differential signaling is used, more accurate quiescent current estimates can be obtained by using the power estima tor or xpower ? .
virtex-ii pro electrical characteristics r 74 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification if the currents minimums shown in ta b l e 5 are met, the device powers on properly after all three supplies have passed through their power-on reset threshold voltages. once initialized and configured, use the power calculator to estimate current drain on these supplies. selecti/o dc input and output levels values for v il and v ih are recommended input voltages. values for i ol and i oh are guaranteed over the recom- mended operating conditions at the v ol and v oh test points. only selected standards are tested. these are cho- sen to ensure that all standards meet their specifications. the selected standards are tested at minimum v cco with the respective v ol and v oh voltage levels shown. other standards are sample tested. table 5: power-on current for virtex-ii pro devices symbol device units xc2vp2 xc2vp4 xc2vp7 xc2vp20 xc2vp50 i ccintmin 250 250 250 250 500 ma i ccauxmin 250 250 250 250 250 ma i ccomin 10 10 10 10 10 ma table 6: dc input and output levels input/output standard v il v ih v ol v oh i ol i oh v, min v, max v, min v, max v, max v, min ma ma lvttl (1) 0.0 0.8 2.0 v cco 0.4 2.4 24 ? 24 lvcmos33 0.0 0.8 2.0 v cco 0.4 v cco ? 0.4 24 ? 24 lv c mos 2 5 ? 0.5 0.7 1.7 v cco + 0.4 0.4 v cco ? 0.4 24 ? 24 lv c mos 1 8 ? 0.5 20% v cco 70% v cco v cco + 0.4 0.4 v cco ? 0.45 16 ? 16 lv c mos 1 5 ? 0.5 20% v cco 70% v cco v cco + 0.4 0.4 v cco ? 0.45 16 ? 16 pci33_3 (2) 0.0 30% v cco 50% v cco v cco 10% v cco 90% v cco pci66_3 (2) 0.0 30% v cco 50% v cco v cco 10% v cco 90% v cco gtlp ? 0.5 v ref ? 0.1 v ref + 0.1 v cco + 0.4 0.6 n/a 36 n/a gtl ? 0.5 v ref ? 0.05 v ref + 0.05 v cco + 0.4 0.4 n/a 40 n/a hstl i ? 0.5 v ref ? 0.1 v ref + 0.1 v cco + 0.4 0.4 (3) v cco ? 0.4 8 (3) ? 8 (3) hstl ii ? 0.5 v ref ? 0.1 v ref + 0.1 v cco + 0.4 0.4 (3) v cco ? 0.4 16 (3) ? 16 (3) hstl iii ? 0.5 v ref ? 0.1 v ref + 0.1 v cco + 0.4 0.4 (3) v cco ? 0.4 24 (3) ? 8 (3) hstl iv ? 0.5 v ref ? 0.1 v ref + 0.1 v cco + 0.4 0.4 (3) v cco ? 0.4 48 (3) ? 8 (3) sstl3 i 0.0 v ref ? 0.2 v ref + 0.2 v cco v ref ? 0.6 v ref + 0.6 8 ? 8 sstl3 ii 0.0 v ref ? 0.2 v ref + 0.2 v cco v ref ? 0.8 v ref + 0.8 16 ? 16 sstl2 i ? 0.5 v ref ? 0.2 v ref + 0.2 v cco + 0.4 v ref ? 0.61 v ref + 0.65 7.6 ? 7.6 sstl2 ii ? 0.5 v ref ? 0.2 v ref + 0.2 v cco + 0.4 v ref ? 0.80 v ref + 0.80 15.2 ? 15.2 notes: 1. v ol and v oh for lower drive currents are sample tested. the done pin is always cmos 2.5 12 ma. 2. for optimum performance, it is recommended that pci be used in conjunction with lvdci_33. contact xilinx for more details. 3. this applies to 1.5v and 1.8v hstl.
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 75 advance product specification 1-800-255-7778 ldt dc specifications (ldt_25) lvds dc specifications (lvds_25) extended lvds dc specifications (lvdsext_25) table 7: ldt dc specifications dc parameter symbol conditions min typ max units supply voltage v cco 2.38 2.5 2.63 v differential output voltage v od r t = 100 ohm across q and q signals 500 600 700 mv change in v od magnitude ? v od ? 15 15 mv output common mode voltage v ocm r t = 100 ohm across q and q signals 560 600 640 mv change in v os magnitude ? v ocm ? 15 15 mv input differential voltage v id 200 600 1000 mv change in v id magnitude ? v id ? 15 15 mv input common mode voltage v icm 500 600 700 mv change in v icm magnitude ? v icm ? 15 15 mv table 8: lvds dc specifications dc parameter symbol conditions min typ max units supply voltage v cco 2.38 2.5 2.63 v output high voltage for q and q v oh r t = 100 ? across q and q signals 1.475 v output low voltage for q and q v ol r t = 100 ? across q and q signals 0.925 v differential output voltage (q ? q ), q = high (q ? q), q = high v odiff r t = 100 ? across q and q signals 250 350 400 mv output common-mode voltage v ocm r t = 100 ? across q and q signals 1.125 1.2 1.275 v differential input voltage (q ? q ), q = high (q ? q), q = high v idiff common-mode input voltage = 1.25v 100 350 600 mv input common-mode voltage v icm differential input voltage = 350 mv 0.3 1.2 2.2 v table 9: extended lvds dc specifications dc parameter symbol conditions min typ max units supply voltage v cco 2.38 2.5 2.63 v output high voltage for q and q v oh r t = 100 ? across q and q signals 1.70 v output low voltage for q and q v ol r t = 100 ? across q and q signals 0.705 v differential output voltage (q ? q ), q = high (q ? q), q = high v odiff r t = 100 ? across q and q signals 440 820 mv output common-mode voltage v ocm r t = 100 ? across q and q signals 1.125 1.200 1.275 v differential input voltage (q ? q ), q = high (q ? q), q = high v idiff common-mode input voltage = 1.25v 100 1000 mv input common-mode voltage v icm differential input voltage = 350 mv 0.3 1.2 2.2 v
virtex-ii pro electrical characteristics r 76 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification rocket i/o dc input and output levels table 10: rocket i/o dc specifications dc parameter symbol conditions min typ max units peak-to-peak differential input voltage dv in 175 mv peak-to-peak differential output voltage (1,2) dv out 800 mv 1000 mv 1200 mv 1400 mv 1600 mv notes: 1. output swing levels are selectable using tx_diff_ctrl attribute. see the rocket i/o transceiver section in chapter 2, or refer to the rocket i/o user manual for details. 2. output preemphasis levels are selectable at 10% (default), 20%, 25%, and 33% using the tx_preemphasis attribute. see the rocket i/o transceiver section in chapter 2 or the rocket i/o user manual for details.
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 77 advance product specification 1-800-255-7778 virtex-ii pro performance characteristics this section provides the performance characteristics of some common functions and designs implemented in virtex-ii pro devices. the numbers reported here are fully characterized worst-case values. note that these values are subject to the same guidelines as virtex-ii pro switching characteristics , page 79 (speed files). ta b l e 1 1 provides pin-to-pin values (in nanoseconds) including iob delays; that is, delay through the device from input pin to output pin. in the case of multiple inputs and out- puts, the worst delay is reported. ta b l e 1 2 shows internal (register-to-register) performance. values are reported in mhz. table 11: pin-to-pin performance description pin-to-pin (w/ i/o delays) device used & speed grade basic functions: 16-bit address decoder 32-bit address decoder 64-bit address decoder 4:1 mux 8:1 mux 16:1 mux 32:1 mux combinatorial (pad to lut to pad) memory: block ram pad to setup clock to pad distributed ram pad to setup clock to pad table 12: register-to-register performance description register-to-register performance device used & speed grade basic functions: 16-bit address decoder 32-bit address decoder 64-bit address decoder 4:1 mux 8:1 mux 16:1 mux 32:1 mux register to lut to register 8-bit adder 16-bit adder
virtex-ii pro performance characteristics r 78 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification 64-bit adder 64-bit counter 64-bit accumulator multiplier 18x18 (with block ram inputs) multiplier 18x18 (with register inputs) memory: block ram single-port 4096 x 4 bits single-port 2048 x 9 bits single-port 1024 x 18 bits single-port 512 x 36 bits dual-port a:4096 x 4 bits & b:1024 x 18 bits dual-port a:1024 x 18 bits & b:1024 x 18 bits dual-port a:2048 x 9 bits & b: 512 x 36 bits distributed ram single-port 32 x 8-bit single-port 64 x 8-bit single-port 128 x 8-bit dual-port 16 x 8 dual-port 32 x 8 dual-port 64 x 8 dual-port 128 x 8 shift registers 128-bit srl 256-bit srl fifos (async. in block ram) 1024 x 18-bit 1024 x 18-bit fifos (sync. in srl) 128 x 8-bit 128 x 16-bit cams in block ram 32 x 9-bit 64 x 9-bit 128 x 9-bit 256 x 9-bit table 12: register-to-register performance (continued) description register-to-register performance device used & speed grade
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 79 advance product specification 1-800-255-7778 virtex-ii pro switching characteristics switching characteristics are specified on a per-speed-grade basis and can be designated as advance, preliminary, or production. note that virtex-ii pro perfor- mance characteristics , page 77 are subject to these guidelines, as well. each designation is defined as follows: advance : these speed files are based on simulations only and are typically available soon after device design specifi- cations are frozen. although speed grades with this desig- nation are considered relatively stable and conservative, some under-reporting might still occur. preliminary : these speed files are based on complete es (engineering sample) silicon characterization. devices and speed grades with this designation are intended to give a better indication of the expected performance of production silicon. the probability of under-reporting delays is greatly reduced as compared to advance data. production : these speed files are released once enough production silicon of a particular device family member has been characterized to provide full correlation between speed files and devices over numerous production lots. there is no under-reporting of delays, and customers receive formal notification of any subsequent changes. typ- ically, the slowest speed grades transition to production before faster speed grades. since individual family members are produced at different times, the migration from one category to another depends completely on the status of the fabrication process for each device. ta bl e 1 3 correlates the current status of each virtex-ii pro device with a corresponding speed file desig- nation. all specifications are always representative of worst-case supply voltage and junction temperature conditions. testing of switching characteristics all devices are 100% functionally tested. internal timing parameters are derived from measuring internal test pat- terns. listed below are representative values. for more specific, more precise, and worst-case guaranteed data, use the values reported by the static timing analyzer (trce in the xilinx development system) and back-annotate to the simulation net list. unless otherwise noted, values apply to all virtex-ii pro devices. cams in srl 32 x 16-bit 64 x 32-bit 128 x 40-bit 256 x 48-bit 1024 x 16-bit 1024 x 72-bit table 12: register-to-register performance (continued) description register-to-register performance device used & speed grade table 13: virtex-ii pro device speed grade designations device speed grade designations advance preliminary production xc2vp2 -8, -7, -6 xc2vp4 -8, -7, -6 xc2vp7 -8, -7, -6 xc2vp20 -8, -7, -6 xc2vp50 -8, -7, -6
virtex-ii pro switching characteristics r 80 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification powerpc switching characteristics table 14: processor clocks absolute ac characteristics speed grade -8 -7 -6 description min max min max min max units cpmc405clock frequency mhz jtagc405tck frequency (1) mhz notes: 1. the theoretical maximum frequency of this clock is one-half the cpmc405clock. however, the achievable maximum is dependent on the system, and will be much less table 15: processor block switching characteristics speed grade description symbol -8 -7 -6 units setup and hold relative to clock (cpmc405clock) device control register bus control inputs t pcck _dcr/t pckc _dcr ns, min device control register bus data inputs t pdck _dcr/t pckd _dcr ns, min clock and power management control inputs t pcck _cpm/t pckc _cpm ns, min reset control inputs t pcck _rst/t pckc _rst ns, min debug control inputs t pcck _dbg/t pckc _dbg ns, min trace control inputs t pcck _trc/t pckc _trc ns, min external interrupt controller control inputs t pcck _eic/t pckc _eic ns, min clock to out device control register bus control outputs t pckco _dcr ns, max device control register bus address outputs t pckao _dcr ns, max device control register bus data outputs t pckdo _dcr ns, max clock and power management control outputs t pckco _cpm ns, max reset control outputs t pckco _rst ns, max debug control outputs t pckco _dbg ns, max trace control outputs t pckco _trc ns, max
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 81 advance product specification 1-800-255-7778 clock cpmc405clock minimum pulse width, high t cpwh ns, min cpmc405clock minimum pulse width, low t cpwl ns, min table 15: processor block switching characteristics (continued) speed grade description symbol -8 -7 -6 units table 16: processor block plb switching characteristics speed grade description symbol -8 -7 -6 units setup and hold relative to clock (plbclk) processor local bus(icu/dcu) control inputs t pcck _plb/t pckc _plb ns, min processor local bus (icu/dcu) data inputs t pdck _plb/t pckd _plb ns, min clock to out processor local bus(icu/dcu) control outputs t pckco _plb ns, max processor local bus(icu/dcu) address bus outputs t pckao _plb ns, max processor local bus(icu/dcu) data bus outputs t pckdo _plb ns, max clock plbclk minimum pulse width, high t ppwh ns, min plbclk minimum pulse width, low t ppwl ns, min table 17: processor block jtag switching characteristics speed grade description symbol -8 -7 -6 units setup and hold relative to clock (jtagc405tck) jtag control inputs t pcck _jtag/t pckc _jtag ns, min jtag reset input t pcck _jtagrst/ t pckc _jtagrst ns, min clock to out jtag control outputs t pckco _jtag ns, max
virtex-ii pro switching characteristics r 82 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification clock jtagc405tck minimum pulse width, high t jpwh ns, min jtagc405tck minimum pulse width, low t jpwl ns, min table 17: processor block jtag switching characteristics (continued) speed grade description symbol -8 -7 -6 units table 18: powerpc 405 data-side on-chip memory switching characteristics speed grade description symbol -8 -7 -6 units setup and hold relative to clock (bramdsocmclk) data-side on-chip memory data bus inputs t pdck _dsocm/t pckd _dsocm ns, min clock to out data-side on-chip memory control outputs t pckco _dsocm ns, max data-side on-chip memory address bus outputs t pckao _dsocm ns, max data-side on-chip memory data bus outputs t pckdo _dsocm ns, max clock bramdsocmclk minimum pulse width, high t dpwh ns, min bramdsocmclk minimum pulse width, low t dpwl ns, min table 19: powerpc 405 instruction-side on-chip memory switching characteristics speed grade description symbol -8 -7 -6 units setup and hold relative to clock (bramisocmclk) instruction-side on-chip memory data bus inputs t pdck _isocm/t pckd _isocm ns, min clock to out instruction-side on-chip memory control outputs t pckco _isocm ns, max instruction-side on-chip memory address bus outputs t pckao _isocm ns, max instruction-side on-chip memory data bus outputs t pckdo _isocm ns, max
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 83 advance product specification 1-800-255-7778 rocket i/o switching characteristics clock bramisocmclk minimum pulse width, high t ipwh ns, min bramisocmclk minimum pulse width, low t ipwl ns, min table 19: powerpc 405 instruction-side on-chip memory switching characteristics (continued) speed grade description symbol -8 -7 -6 units table 20: rocket i/o reference clock switching characteristics all speed grades description symbol conditions min typ max units refclk frequency range (1) f gclk 40 note(1) 156.25 mhz refclk frequency tolerance f gtol 100 ppm refclk rise time t rclk 20% ? 80% ns refclk fall time t fclk 20% ? 80% ns refclk duty cycle t dcref 45 50 55 % refclk total jitter t gjtt peak-to-peak 40 ps clock recovery frequency acquisition time t lock 10 s clock recovery phase acquisition time t phase 960 bits bit error rate ber 10 ? 12 notes: 1. refclk frequency is typically 1/20 of serial data rate. figure 1: reference clock (refclk) timing parameters ds083-3_01_101801 80% refclk 20% t fclk t rclk
virtex-ii pro switching characteristics r 84 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification table 21: rocket i/o receiver switching characteristics description symbol conditions min typ max units receive total jitter tolerance t jtol 0.65 ui (1) receive deterministic jitter tolerance t djtol 0.41 ui receive latency (2) t rxlat 25 42 rxusr clk cycles rxusrclk duty cycle t rxdc 45 50 55 % rxusrclk2 duty cycle t rx2dc 45 50 55 % bit error rate ber 10 ? 12 notes: 1. ui = unit interval 2. receive latency delay from rxp/rxn to rxdata figure 2: receive latency (maximum) ds083-3_02_082301 rxdata[16:0] rxp/rxn rxusrclk2 t rxlat data arrives data originates 0 1 41 42 12 . . . . . 20 821 822 . . . . . . . . . 840 841 842 21 22 . . . . . 820
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 85 advance product specification 1-800-255-7778 table 22: rocket i/o transmitter switching characteristics description symbol conditions min typ max units serial data rate, full-speed clock f gtx flipchip packages 0.800 3.125 gb/s wirebond packages 0.800 2.5 gb/s serial data rate, half-speed clock flipchip packages 0.600 1.0 gb/s wirebond packages 0.600 1.0 gb/s serial data output deterministic jitter t dj 0.18 ui (1) serial data output random jitter t rj 0.17 ui tx rise time t rtx 20% ? 80% 120 ps tx fall time t ftx 120 ps transmit latency (2) t txlat including crc 14 17 txusr clk cycles excluding crc 8 11 txusrclk duty cycle t txdc 45 50 55 % txusrclk2 duty cycle t tx2dc 45 50 55 % notes: 1. ui = unit interval 2. transmit latency delay from txdata to txp/txn figure 3: transmit latency (maximum, including crc) ds083-3_03_082301 txp/txn txdata[16:0] txusrclk2 t txlat data originates 1 0 2 1 . . . . . 16 17 20 321 322 . . . . . . . . . 340 341 342 21 22 . . . . . 320 data arrives
virtex-ii pro switching characteristics r 86 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification table 23: rocket i/o rxusrclk switching characteristics speed grade description symbol -8 -7 -6 units setup and hold relative to clock (rxusrclk) chbondi control inputs t gcck _chbi/t gckc _chbi ns, min clock to out chbondo control outputs t gckco _chbo ns, max clock rxusrclk minimum pulse width, high t gpwh _rx ns, min rxusrclk minimum pulse width, low t gpwl _rx ns, min table 24: rocket i/o rxusrclk2 switching characteristics speed grade description symbol -8 -7 -6 units setup and hold relative to clock (rxusrclk2) rxreset control input t gcck _rrst/t gckc _rrst ns, min rxpolarity control input t gcck _rpol/t gckc _rpol ns, min enchansync control input t gcck _ecsy/t gckc _ecsy ns, min clock to out rxnotintable status outputs t gckst _rnit ns, max rxdisperr status outputs t gckst _rderr ns, max rxchariscomma status outputs t gckst _rcmch ns, max rxrealign status output t gckst _align ns, max rxcommadet status output t gckst _cmdt ns, max rxlossofsync status outputs t gckst _rlos ns, max rxclkcorcnt status outputs t gckst _rcccnt ns, max rxbufstatus status outputs t gckst _rbsta ns, max rxcheckingcrc status output t gckst _rccrc ns, max rxcrcerr status output t gckst _rcrce ns, max chbonddone status output t gckst _chbd ns, max rxcharisk status outputs t gckst _rkch ns, max rxrundisp status outputs t gckst _rrdis ns, max rxdata data outputs t gckdo _rdat ns, max clock rxusrclk2 minimum pulse width, high t gpwh _rx2 ns, min rxusrclk2 minimum pulse width, low t gpwl _rx2 ns, min
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 87 advance product specification 1-800-255-7778 table 25: rocket i/o txusrclk switching characteristics speed grade description symbol -8 -7 -6 units setup and hold relative to clock (txusrclk2) configenable control input t gcck _cfgen/t gckc _cfgen ns, min txbypass8b10b control inputs t gcck _tbyp/t gckc _tbyp ns, min txforcecrcerr control input t gcck _tcrce/t gckc _tcrce ns, min txpolarity control input t gcck _tpol/t gckc _tpol ns, min txinhibit control inputs t gcck _tinh/t gckc _tinh ns, min loopback control inputs t gcck _lbk/t gckc _lbk ns, min txreset control input t gcck _trst/t gckc _trst ns, min txcharisk control inputs t gcck _tkch/t gckc _tkch ns, min txchardispmode control inputs t gcck _tcdm/t gckc _tcdm ns, min txchardispval control inputs t gcck _tcdv/t gckc _tcdv ns, min configin data input t gdck _cfgin/t gckd _cfgin ns, min txdata data inputs t gdck _tdat/t gckd _tdat ns, min clock to out txbuferr status output t gckst _tberr ns, max txkerr status outputs t gckst _tkerr ns, max txrundisp status outputs t gckst _trdis ns, max configout data output t gckdo _cfgout ns, max clock txusrclk minimum pulse width, high t gpwh _tx ns, min txusrclk minimum pulse width, low t gpwl _tx ns, min txusrclk2 minimum pulse width, high t gpwh _tx2 ns, min txusrclk2 minimum pulse width, low t gpwl _tx2 ns, min
virtex-ii pro switching characteristics r 88 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification iob input switching characteristics input delays associated with the pad are specified for lvcmos 2.5v levels. for other standards, adjust the delays with the values shown in iob input switching characteristics standard adjustments , page 89 . table 26: iob input switching characteristics speed grade description symbol device ? 8 ? 7 ? 6units propagation delays pad to i output, no delay t iopi all ns, max pad to i output, with delay t iopid xc2vp2 ns, max xc2vp4 ns, max xc2vp7 ns, max xc2vp20 ns, max xc2vp50 ns, max propagation delays pad to output iq via transparent latch, no delay t iopli all ns, max pad to output iq via transparent latch, with delay t ioplid xc2vp2 ns, max xc2vp4 ns, max xc2vp7 ns, max xc2vp20 ns, max xc2vp50 ns, max clock clk to output iq t iockiq all ns, max setup and hold times with respect to clock at iob input register pad, no delay t iopick /t ioickp all ns, min pad, with delay t iopickd /t ioickpd xc2vp2 ns, max xc2vp4 ns, max xc2vp7 ns, max xc2vp20 ns, max xc2vp50 ns, max ice input t ioiceck /t iockice all ns, min sr input (iff, synchronous) t iosrcki all ns, min set/reset delays sr input to iq (asynchronous) t iosriq all ns, max gsr to output iq t gsrq all ns, max notes: 1. input timing for lvcmos25 is measured at 1.25v. for other i/o standards, see ta b l e 3 0 .
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 89 advance product specification 1-800-255-7778 iob input switching characteristics standard adjustments table 27: iob input switching characteristics standard adjustments speed grade description symbol standard ? 8 ? 7 ? 6units data input delay adjustments standard-specific data input delay adjustments t ilvttl lvttl ns t ilvcmos33 lvcmos33 ns t ilvcmos25 lvcmos25 ns t ilvcmos18 lvcmos18 ns t ilvcmos15 lvcmos15 ns t ilvds_25 lvds_25 ns t ilvds_25_ext lvds_25_ext ns t ipci33_3 pci, 33 mhz, 3.3v ns t ipci66_3 pci, 66 mhz, 3.3v ns t igtl gtl ns t igtlplus gtlp ns t ihstl_i hstl i ns t ihstl_ii hstl ii ns t ihstl_iii hstl iii ns t ihstl_iv hstl iv ns t ihstl_i_18 hstl_i_18 ns t ihstl_ii_18 hstl_ii_18 ns t ihstl_iii_18 hstl_iii_18 ns t ihstl_iv_18 hstl_iv_18 ns t isstl2_i sstl2 i ns t isstl2_ii sstl2 ii ns t isstl3_i sstl3 i ns t isstl3_ii sstl3 ii ns t ilvdci33 lvdci_33 ns t ilvdci25 lvdci_25 ns t ilvdci18 lvdci_18 ns t ilvdci15 lvdci_15 ns t ilvdci_dv2_25 lvdci_dv2_25 ns t ilvdci_dv2_18 lvdci_dv2_18 ns t ilvdci_dv2_15 lvdci_dv2_15 ns t igtl_dci gtl_dci ns t igtlp_dci gtlp_dci ns t ihstl_i_dci hstl_i_dci ns
virtex-ii pro switching characteristics r 90 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification iob output switching characteristics output delays terminating at a pad are specified for lvcmos25 with 12 ma drive and fast slew rate. for other standards, adjust the delays with the values shown in iob output switching characteristics standard adjustments , page 91 . standard-specific data input delay adjustments (continued) t ihstl_ii_dci hstl_ii_dci ns t ihstl_iii_dci hstl_iii_dci ns t ihstl_iv_dci hstl_iv_dci ns t ihstl_i_dci_18 hstl_i_dci_18 ns t ihstl_ii_dci_18 hstl_ii_dci_18 ns t ihstl_iii_dci_18 hstl_iii_dci_18 ns t ihstl_iv_dci_18 hstl_iv_dci_18 ns t isstl2_i_dci sstl2_i_dci ns t isstl2_ii_dci sstl2_ii_dci ns t isstl3_i_dci sstl3_i_dci ns t isstl3_ii_dci sstl3_ii_dci ns t ildt_25 ldt_25 ns t iulvds_25 ulvds_25 ns notes: 1. input timing for lvttl is measured at 1.4v. for other i/o standards, see ta bl e 3 0 . table 28: iob output switching characteristics speed grade description symbol ? 8 ? 7 ? 6units propagation delays o input to pad t ioop ns, max o input to pad via transparent latch t ioolp ns, max 3-state delays t input to pad high-impedance (2) t iothz ns, max t input to valid data on pad t ioton ns, max t input to pad high-impedance via transparent latch (2) t iotlphz ns, max t input to valid data on pad via transparent latch t iotlpon ns, max gts to pad high-impedance (2) t gts ns, max sequential delays clock clk to pad t iockp ns, max clock clk to pad high-impedance (synchronous) (2) t iockhz ns, max clock clk to valid data on pad (synchronous) t iockon ns, max table 27: iob input switching characteristics standard adjustments (continued) speed grade description symbol standard ? 8 ? 7 ? 6units
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 91 advance product specification 1-800-255-7778 iob output switching characteristics standard adjustments output delays terminating at a pad are specified for lvcmos25 with 12 ma drive and fast slew rate. for other standards, adjust the delays by the values shown. setup and hold times before/after clock clk o input t ioock /t iocko ns, min oce input t iooceck /t iockoce ns, min sr input (off) t iosrcko /t iockosr ns, min 3-state setup times, t input t iotck /t iockt ns, min 3-state setup times, tce input t iotceck /t iocktce ns, min 3-state setup times, sr input (tff) t iosrckt /t iocktsr ns, min set/reset delays sr input to pad (asynchronous) t iosrp ns, max sr input to pad high-impedance (asynchronous) (2) t iosrhz ns, max sr input to valid data on pad (asynchronous) t iosron ns, max gsr to pad t iogsrq ns, max notes: 1. a zero ? 0 ? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ? best-case ? , but if a ? 0 ? is listed, there is no positive hold time. 2. the 3-state turn-off delays should not be adjusted. table 29: iob output switching characteristics standard adjustments speed grade description symbol standard ? 8 ? 7 ? 6units output delay adjustments standard-specific adjustments for output delays terminating at pads (based on standard capacitive load, csl) t olvttl_s2 lvttl, slow, 2 ma ns t olvttl_s4 4 ma ns t olvttl_s6 6 ma ns t olvttl_s8 8 ma ns t olvttl_s12 12 ma ns t olvttl_s16 16 ma ns t olvttl_s24 24 ma ns t olvttl_f2 lvttl, fast, 2 ma ns t olvttl_f4 4 ma ns t olvttl_f6 6 ma ns t olvttl_f8 8 ma ns t olvttl_f12 12 ma ns table 28: iob output switching characteristics (continued) speed grade description symbol ? 8 ? 7 ? 6units
virtex-ii pro switching characteristics r 92 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification standard-specific adjustments for output delays terminating at pads (based on standard capacitive load, csl) (continued) t olvttl_f16 16 ma ns t olvttl_f24 24 ma ns t olvds_25 lv d s n s t olvdsext_25 lv d s n s t oldt_25 ldt ns t oblvds_25 blvds ns t oulvds_25 ulvds ns t opci33_3 pci, 33 mhz, 3.3v ns t opci66_3 pci, 66 mhz, 3.3v ns t ogtl gtl ns t ogtlp gtlp ns t ohstl_i hstl i ns t ohstl_ii hstl ii ns t ohstl_iiii hstl iii ns t ohstl_iv hstl iv ns t ohstl_i_18 hstl_i_18 ns t ohstl_ii_18 hstl_ii_18 ns t ohstl_iiii_18 hstl_iii_18 ns t ohstl_iv_18 hstl_iv_18 ns t osstl2_i sstl2 i ns t osstl2_ii sstl2 ii ns t osstl3_i sstl3 i ns t osstl3_ii sstl3 ii ns t olvcmos33_s2 lvcmos33, slow, 2 ma ns t olvcmos33_s4 4 ma ns t olvcmos33_s6 6 ma ns t olvcmos33_s8 8 ma ns t olvcmos33_s12 12 ma ns t olvcmos33_s16 16 ma ns t olvcmos33_s24 24 ma ns t olvcmos33_f2 lvcmos33, fast, 2 ma ns t olvcmos33_f4 4 ma ns t olvcmos33_f6 6 ma ns t olvcmos33_f8 8 ma ns t olvcmos33_f12 12 ma ns table 29: iob output switching characteristics standard adjustments (continued) speed grade description symbol standard ? 8 ? 7 ? 6units
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 93 advance product specification 1-800-255-7778 standard-specific adjustments for output delays terminating at pads (based on standard capacitive load, csl) (continued) t olvcmos33_f16 16 ma ns t olvcmos33_f24 24 ma ns t olvcmos25_s2 lvcmos25, slow, 2 ma ns t olvcmos25_s4 4 ma ns t olvcmos25_s6 6 ma ns t olvcmos25_s8 8 ma ns t olvcmos25_s12 12 ma ns t olvcmos25_s16 16 ma ns t olvcmos25_s24 24 ma ns t olvcmos25_f2 lvcmos25, fast, 2 ma ns t olvcmos25_f4 4 ma ns t olvcmos25_f6 6 ma ns t olvcmos25_f8 8 ma ns t olvcmos25_f12 12 ma ns t olvcmos25_f16 16 ma ns t olvcmos25_f24 24 ma ns t olvcmos18_s2 lvcmos18, slow, 2 ma ns t olvcmos18_s4 4 ma ns t olvcmos18_s6 6 ma ns t olvcmos18_s8 8 ma ns t olvcmos18_s12 12 ma ns t olvcmos18_s16 16 ma ns t olvcmos18_f2 lvcmos18, fast, 2 ma ns t olvcmos18_f4 4 ma ns t olvcmos18_f6 6 ma ns t olvcmos18_f8 8 ma ns t olvcmos18_f12 12 ma ns t olvcmos18_f16 16 ma ns t olvcmos15_s2 lvcmos15, slow, 2 ma ns t olvcmos15_s4 4 ma ns t olvcmos15_s6 6 ma ns t olvcmos15_s8 8 ma ns t olvcmos15_s12 12 ma ns t olvcmos15_s16 16 ma ns table 29: iob output switching characteristics standard adjustments (continued) speed grade description symbol standard ? 8 ? 7 ? 6units
virtex-ii pro switching characteristics r 94 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification standard-specific adjustments for output delays terminating at pads (based on standard capacitive load, csl) (continued) t olvcmos15_f2 lvcmos15, fast, 2 ma ns t olvcmos15_f4 4 ma ns t olvcmos15_f6 6 ma ns t olvcmos15_f8 8 ma ns t olvcmos15_f12 12 ma ns t olvcmos15_f16 16 ma ns t olvdci33 lvdci_33 ns t olvdci25 lvdci_25 ns t olvdci18 lvdci_18 ns t olvdci15 lvdci_15 ns t olvdci_dv2_25 lvdci_dv2_25 ns t olvdci_dv2_18 lvdci_dv2_18 ns t olvdci_dv2_15 lvdci_dv2_15 ns t ogtl_dci gtl_dci ns t ogtlp_dci gtlp_dci ns t ohstl_i_dci hstl_i_dci ns t ohstl_ii_dci hstl_ii_dci ns t ohstl_iii_dci hstl_iii_dci ns t ohstl_iv_dci hstl_iv_dci ns t ohstl_i_dci_18 hstl_i_dci_18 ns t ohstl_ii_dci_18 hstl_ii_dci_18 ns t ohstl_iii_dci_18 hstl_iii_dci_18 ns t ohstl_iv_dci_18 hstl_iv_dci_18 ns t osstl2_i_dci sstl2_i_dci ns t osstl2_ii_dci sstl2_ii_dci ns t osstl3_i_dci sstl3_i_dci ns t osstl3_ii_dci sstl3_ii_dci ns table 29: iob output switching characteristics standard adjustments (continued) speed grade description symbol standard ? 8 ? 7 ? 6units
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 95 advance product specification 1-800-255-7778 table 30: delay measurement methodology standard v l (1) v h (1) meas. point v ref (typ) (2) lv t tl 0 3 1 .4 ? lvcmos33 0 3.3 1.65 ? lvcmos25 0 2.5 1.25 ? lvcmos18 0 1.8 0.9 ? lvcmos15 0 1.5 0.75 ? pci33_3 per pci specification ? pci66_3 per pci specification ? gtl v ref ? 0.2 v ref +0.2 v ref 0.80 gtlp v ref ? 0.2 v ref +0.2 v ref 1.0 hstl class i v ref ? 0.5 v ref +0.5 v ref 0.75 hstl class ii v ref ? 0.5 v ref +0.5 v ref 0.75 hstl class iii v ref ? 0.5 v ref +0.5 v ref 0.90 hstl class iv v ref ? 0.5 v ref +0.5 v ref 0.90 hstl class i (1.8v) v ref ? 0.5 v ref +0.5 v ref 1.08 hstl class ii (1.8v) v ref ? 0.5 v ref +0.5 v ref 1.08 hstl class iii (1.8v) v ref ? 0.5 v ref +0.5 v ref 1.08 hstl class iv (1.8v) v ref ? 0.5 v ref +0.5 v ref 1.08 sstl3 i & ii v ref ? 1.0 v ref +1.0 v ref 1.5 sstl2 i & ii v ref ? 0.75 v ref +0.75 v ref 1.25 lv d s _2 5 1. 2 ? 0.125 1.2 + 0.125 1.2 lvdsext_25 1.2 ? 0.125 1.2 + 0.125 1.2 ulvds_25 0.6 ? 0.125 0.6 + 0.125 0.6 ldt_25 0.6 ? 0.125 0.6 + 0.125 0.6 notes: 1. input waveform switches between v l and v h . 2. measurements are made at v ref (typ), maximum, and minimum. worst-case values are reported.
virtex-ii pro switching characteristics r 96 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification table 31: standard capacitive loads standard csl (pf) lvttl fast slew rate, 2ma drive 35 lvttl fast slew rate, 4ma drive 35 lvttl fast slew rate, 6ma drive 35 lvttl fast slew rate, 8ma drive 35 lvttl fast slew rate, 12ma drive 35 lvttl fast slew rate, 16ma drive 35 lvttl fast slew rate, 24ma drive 35 lvttl slow slew rate, 2ma drive 35 lvttl slow slew rate, 4ma drive 35 lvttl slow slew rate, 6ma drive 35 lvttl slow slew rate, 8ma drive 35 lvttl slow slew rate, 12ma drive 35 lvttl slow slew rate, 16ma drive 35 lvttl slow slew rate, 24ma drive 35 lv c mo s3 3 35 lv c mo s2 5 35 lv c mo s1 8 35 lv c mo s1 5 35 pci 33mhz 3.3v 10 pci 66 mhz 3.3v 10 gtl 0 gtlp 0 hstl class i (1.5v and 1.8v) 20 hstl class ii (1.5vand 1.8v 20 hstl class iii (1.5vand 1.8v 20 hstl class iv1.5vand 1.8v 20 sstl2 class i 30 sstl2 class ii 30 sstl3 class i 30 sstl3 class ii 30 notes: 1. i/o parameter measurements are made with the capacitance values shown above. 2. i/o standard measurements are reflected in the ibis model information except where the ibis format precludes it. 3. use of ibis models results in a more accurate prediction of the propagation delay: a. model the output in an ibis simulation into the standard capacitive load. b. record the relative time to the v oh or v ol transition of interest. c. remove the capacitance, and model the actual pcb traces (transmission lines) and actual loads from the appropriate ibis models for driven devices. d. record the results from the new simulation. e. compare with the capacitance simulation. the increase or decrease in delay from the capacitive load delay simulation should be added or subtracted from the value above to predict the actual delay.
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 97 advance product specification 1-800-255-7778 clock distribution switching characteristics clb switching characteristics delays originating at f/g inputs vary slightly according to the input used (see figure 22 in data sheet module 1 ). the values listed below are worst-case. precise values are provided by the timing analyzer. table 32: clock distribution switching characteristics speed grade description symbol ? 8 ? 7 ? 6 units global clock buffer i input to o output t gio ns, max table 33: clb switching characteristics speed grade description symbol ? 8 ? 7 ? 6 units combinatorial delays 4-input function: f/g inputs to x/y outputs t ilo ns, max 5-input function: f/g inputs to f5 output t if5 ns, max 5-input function: f/g inputs to x output t if5x ns, max fxina or fxinb inputs to y output via muxfx t ifxy ns, max fxina input to fx output via muxfx t inafx ns, max fxinb input to fx output via muxfx t inbfx ns, max sopin input to sopout output via orcy t sopsop ns, max incremental delay routing through transparent latch to xq/yq outputs t ifnctl ns, max sequential delays ff clock clk to xq/yq outputs t cko ns, max latch clock clk to xq/yq outputs t cklo ns, max setup and hold times before/after clock clk bx/by inputs t dick /t ckdi ns, min dy inputs t dy ck /t ckdy ns, min dx inputs t dxck /t ckdx ns, min ce input t ceck /t ckce ns, min sr/by inputs (synchronous) t rck/ t ckr ns, min clock clk minimum pulse width, high t ch ns, min minimum pulse width, low t cl ns, min set/reset minimum pulse width, sr/by inputs t rpw ns, min delay from sr/by inputs to xq/yq outputs (asynchronous) t rq ns, max toggle frequency (mhz) (for export control) f tog mhz notes: 1. a zero ? 0 ? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ? best-case ? , but if a ? 0 ? is listed, there is no positive hold time.
virtex-ii pro switching characteristics r 98 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification clb distributed ram switching characteristics clb shift register switching characteristics table 34: clb distributed ram switching characteristics speed grade description symbol ? 8 ? 7 ? 6 units sequential delays clock clk to x/y outputs (we active) in 16 x 1 mode t shcko16 ns, max clock clk to x/y outputs (we active) in 32 x 1 mode t shcko32 ns, max clock clk to f5 output t shckof5 ns, max setup and hold times before/after clock clk bx/by data inputs (din) t ds /t dh ns, min f/g address inputs t as /t ah ns, min ce input (we) t wes /t weh ns, min clock clk minimum pulse width, high t wph ns, min minimum pulse width, low t wpl ns, min minimum clock period to meet address write cycle time t wc ns, min notes: 1. a zero ? 0 ? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ? best-case ? , but if a ? 0 ? is listed, there is no positive hold time. table 35: clb shift register switching characteristics speed grade description symbol ? 8 ? 7 ? 6 units sequential delays clock clk to x/y outputs t reg ns, max clock clk to x/y outputs t reg32 ns, max clock clk to xb output via mc15 lut output t regxb ns, max clock clk to yb output via mc15 lut output t regyb ns, max clock clk to shiftout t cksh ns, max clock clk to f5 output t regf5 ns, max setup and hold times before/after clock clk bx/by data inputs (din) t srlds /t srldh ns, min ce input (ws) t wss /t wsh ns, min clock clk minimum pulse width, high t srph ns, min minimum pulse width, low t srpl ns, min notes: 1. a zero ? 0 ? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ? best-case ? , but if a ? 0 ? is listed, there is no positive hold time.
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 99 advance product specification 1-800-255-7778 multiplier switching characteristics table 36: multiplier switching characteristics speed grade description symbol ? 8 ? 7 ? 6 units propagation delay to output pin input to pin35 t mult_p35 ns, max input to pin34 t mult_p34 ns, max input to pin33 t mult_p33 ns, max input to pin32 t mult_p32 ns, max input to pin31 t mult_p31 ns, max input to pin30 t mult_p30 ns, max input to pin29 t mult_p29 ns, max input to pin28 t mult_p28 ns, max input to pin27 t mult_p27 ns, max input to pin26 t mult_p26 ns, max input to pin25 t mult_p25 ns, max input to pin24 t mult_p24 ns, max input to pin23 t mult_p23 ns, max input to pin22 t mult_p22 ns, max input to pin21 t mult_p21 ns, max input to pin20 t mult_p20 ns, max input to pin19 t mult_p19 ns, max input to pin18 t mult_p18 ns, max input to pin17 t mult_p17 ns, max input to pin16 t mult_p16 ns, max input to pin15 t mult_p15 ns, max input to pin14 t mult_p14 ns, max input to pin13 t mult_p13 ns, max input to pin12 t mult_p12 ns, max input to pin11 t mult_p11 ns, max input to pin10 t mult_p10 ns, max input to pin9 t mult_p9 ns, max input to pin8 t mult_p8 ns, max input to pin7 t mult_p7 ns, max input to pin6 t mult_p6 ns, max input to pin5 t mult_p5 ns, max input to pin4 t mult_p4 ns, max input to pin3 t mult_p3 ns, max input to pin2 t mult_p2 ns, max input to pin1 t mult_p1 ns, max input to pin0 t mult_p0 ns, max
virtex-ii pro switching characteristics r 100 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification block selectram switching characteristics tbuf switching characteristics jtag test access port switching characteristics table 37: block selectram switching characteristics speed grade description symbol ? 8 ? 7 ? 6 units sequential delays clock clk to dout output t bcko ns, max setup and hold times before clock clk addr inputs t back /t bcka ns, min din inputs t bdck /t bckd ns, min en input t beck /t bcke ns, min rst input t brck /t bckr ns, min wen input t bwck /t bckw ns, min clock clk minimum pulse width, high t bpwh ns, min minimum pulse width, low t bpwl ns, min notes: 1. a zero ? 0 ? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ? best-case ? , but if a ? 0 ? is listed, there is no positive hold time. table 38: tbuf switching characteristics speed grade description symbol ? 8 ? 7 ? 6 units combinatorial delays in input to out output t io ns, max tri input to out output high-impedance t off ns, max tri input to valid data on out output t on ns, max table 39: jtag test access port switching characteristics speed grade description symbol ? 8 ? 7 ? 6 units tms and tdi setup times before tck t ta p t k ns, min tms and tdi hold times after tck t tcktap ns, min output delay from clock tck to output tdo t tcktdo ns, max maximum tck clock frequency f tck mhz, max
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 101 advance product specification 1-800-255-7778 virtex-ii pro pin-to-pin output parameter guidelines all devices are 100% functionally tested. listed below are representative values for typical pin locations and normal clock loading. values are expressed in nanoseconds unless otherwise noted. global clock input to output delay for lvcmos25, 12 ma, fast slew rate, with dcm table 40: global clock input to output delay for lvcmos25, 12 ma, fast slew rate, with dcm speed grade description symbol device ? 8 ? 7 ? 6 units lvcmos25 global clock input to output delay using output flip-flop, 12 ma, fast slew rate, with dcm. for data output with different standards, adjust the delays with the values shown in iob output switching characteristics standard adjustments , page 91 . global clock and off with dcm t ickofdcm xc2vp2 ns xc2vp4 ns xc2vp7 ns xc2vp20 ns xc2vp50 ns notes: 1. listed above are representative values where one global clock input drives one vertical clock line in each accessible column, and where all accessible iob and clb flip-flops are clocked by the global clock net. 2. output timing is measured at 50% v cc threshold with 35 pf external capacitive load. for other i/o standards and different loads, see ta bl e 3 0 . 3. dcm output jitter is already included in the timing calculation.
virtex-ii pro pin-to-pin output parameter guidelines r 102 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification global clock input to output delay for lvcmos25, 12 ma, fast slew rate, without dcm table 41: global clock input to output delay for lvcmos25, 12 ma, fast slew rate, without dcm speed grade description symbol device ? 8 ? 7 ? 6 units lvcmos25 global clock input to output delay using output flip-flop, 12 ma, fast slew rate, without dcm. for data output with different standards, adjust the delays with the values shown in iob output switching characteristics standard adjustments , page 91 . global clock and off without dcm t ickof xc2vp2 ns xc2vp4 ns xc2vp7 ns xc2vp20 ns xc2vp50 ns notes: 1. listed above are representative values where one global clock input drives one vertical clock line in each accessible column, and where all accessible iob and clb flip-flops are clocked by the global clock net. 2. output timing is measured at 50% v cc threshold with 35 pf external capacitive load. for other i/o standards and different loads, see ta bl e 3 0 . 3. dcm output jitter is already included in the timing calculation.
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 103 advance product specification 1-800-255-7778 virtex-ii pro pin-to-pin input parameter guidelines all devices are 100% functionally tested. listed below are representative values for typical pin locations and normal clock loading. values are expressed in nanoseconds unless otherwise noted global clock set-up and hold for lvcmos25 standard, with dcm table 42: global clock set-up and hold for lvcmos25 standard, with dcm speed grade description symbol device ? 8 ? 7 ? 6 units input setup and hold time relative to global clock input signal for lvcmos25 standard. for data input with different standards, adjust the setup time delay by the values shown in iob input switching characteristics standard adjustments , page 89 . no delay global clock and iff with dcm t psdcm /t phdcm xc2vp2 ns xc2vp4 ns xc2vp7 ns xc2vp20 ns xc2vp50 ns notes: 1. iff = input flip-flop or latch 2. setup time is measured relative to the global clock input signal with the fastest route and the lightest load. hold time is m easured relative to the global clock input signal with the slowest route and heaviest load. 3. dcm output jitter is already included in the timing calculation.
dcm timing parameters r 104 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification global clock set-up and hold for lvcmos25 standard, without dcm , dcm timing parameters testing of switching parameters is modeled after testing methods specified by mil-m-38510/605; all devices are 100% functionally tested. because of the difficulty in directly measuring many internal timing parameters, those parame- ters are derived from benchmark timing patterns. the fol- lowing guidelines reflect worst-case values across the recommended operating conditions. all output jitter and phase specifications are determined through statistical measurement at the package pins. operating frequency ranges e table 43: global clock set-up and hold for lvcmos25 standard, without dcm speed grade description symbol device ? 8 ? 7 ? 6 units input setup and hold time relative to global clock input signal for lvcmos25 standard. for data input with different standards, adjust the setup time delay by the values shown in iob input switching characteristics standard adjustments , page 89 . full delay global clock and iff without dcm t psfd /t phfd xc2vp2 ns xc2vp4 ns xc2vp7 ns xc2vp20 ns xc2vp50 ns notes: 1. iff = input flip-flop or latch 2. setup time is measured relative to the global clock input signal with the fastest route and the lightest load. hold time is m easured relative to the global clock input signal with the slowest route and heaviest load. 3. a zero ? 0 ? hold time listing indicates no hold time or a negative hold time. negative values can not be guaranteed ? best-case ? , but if a ? 0 ? is listed, there is no positive hold time. table 44: operating frequency ranges speed grade description symbol constraints -8 -7 -6 units output clocks (low frequency mode) clk0, clk90, clk180, clk270 clkout_freq_1x_lf_min mhz clkout_freq_1x_lf_max mhz clk2x, clk2x180 clkout_freq_2x_lf_min mhz clkout_freq_2x_lf_max mhz clkdv clkout_freq_dv_lf_min mhz clkout_freq_dv_lf_max mhz clkfx, clkfx180 clkout_freq_fx_lf_min mhz clkout_freq_fx_lf_max mhz
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 105 advance product specification 1-800-255-7778 input clocks (low frequency mode) clkin (using dll outputs) (1) clkin_freq_dll_lf_min mhz clkin_freq_dll_lf_max mhz clkin (using clkfx outputs) (2) clkin_freq_fx_lf_min mhz clkin_freq_fx_lf_max mhz psclk psclk_freq_lf_min mhz psclk_freq_lf_max mhz output clocks (high frequency mode) clk0, clk180 clkout_freq_1x_hf_min mhz clkout_freq_1x_hf_max mhz clkdv clkout_freq_dv_hf_min mhz clkout_freq_dv_hf_max mhz clkfx, clkfx180 clkout_freq_fx_hf_min mhz clkout_freq_fx_hf_max mhz input clocks (high frequency mode) clkin (using dll outputs) (1) clkin_freq_dll_hf_min mhz clkin_freq_dll_hf_max mhz clkin (using clkfx outputs) (2) clkin_freq_fx_hf_min mhz clkin_freq_fx_hf_max mhz psclk psclk_freq_hf_min mhz psclk_freq_hf_max mhz notes: 1. ? dll outputs ? is used here to describe the outputs: clk0, clk90, clk180, clk270, clk2x, clk2x180, and clkdv. 2. if both dll and clkfx outputs are used, follow the more restrictive specification. table 44: operating frequency ranges (continued) speed grade description symbol constraints -8 -7 -6 units
dcm timing parameters r 106 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification input clock tolerances table 45: input clock tolerances speed grade ? 8 ? 7 ? 6 description symbol constraints min max min max min max units input clock low/high pulse width psclk clkin (3) psclk_pulse clkin_pulse < 1mhz ns 1 - 10 mhz ns 10 - 25 mhz ns 25 - 50 mhz ns 50 - 100 mhz ns 100 - 150 mhz ns 150 - 200 mhz ns 200 - 250 mhz ns 250 - 300 mhz ns 300 - 350 mhz ns 350 - 400 mhz ns > 400 mhz ns input clock cycle-cycle jitter (low frequency mode) clkin (using dll outputs) (1) clkin_cyc_jitt_dll_lf ps clkin (using clkfx outputs) (2) clkin_cyc_jitt_fx_lf ps input clock cycle-cycle jitter (high frequency mode) clkin (using dll outputs) (1) clkin_cyc_jitt_dll_hf ps clkin (using clkfx outputs) (2) clkin_cyc_jitt_fx_hf ps input clock period jitter (low frequency mode) clkin (using dll outputs) (1) clkin_per_jitt_dll_lf ns clkin (using clkfx outputs) (2) clkin_per_jitt_fx_lf ns input clock period jitter (high frequency mode) clkin (using dll outputs) (1) clkin_per_jitt_dll_hf ns clkin (using clkfx outputs) (2) clkin_per_jitt_fx_hf ns feedback clock path delay variation clkfb off-chip feedback clkfb_delay_var_ext ns notes: 1. ? dll outputs ? is used here to describe the outputs: clk0, clk90, clk180, clk270, clk2x, clk2x180, and clkdv. 2. if both dll and clkfx outputs are used, follow the more restrictive specification. 3. specification also applies to psclk.
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 107 advance product specification 1-800-255-7778 output clock jitter output clock phase alignment table 46: output clock jitter speed grade ? 8 ? 7 ? 6 description symbol constraints min max min max min max units clock synthesis period jitter clk0 clkout_per_jitt_0 ps clk90 clkout_per_jitt_90 ps clk180 clkout_per_jitt_180 ps clk270 clkout_per_jitt_270 ps clk2x, clk2x180 clkout_per_jitt_2x ps clkdv (integer division) clkout_per_jitt_dv1 ps clkdv (non-integer division) clkout_per_jitt_dv2 ps clkfx, clkfx180 clkout_per_jitt_fx ps table 47: output clock phase alignment speed grade ? 8 ? 7 ? 6 description symbol constraints min max min max min max units phase offset between clkin and clkfb clkin/clkfb clkin_clkfb_phase ps phase offset between any dcm outputs all clk outputs clkout_phase ps duty cycle precision dll outputs (1) clkout_duty_cycle_dll ps clkfx outputs clkout_duty_cycle_fx ps notes: 1. ? dll outputs ? is used here to describe the outputs: clk0, clk90, clk180, clk270, clk2x, clk2x180, and clkdv.
dcm timing parameters r 108 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification miscellaneous timing parameters frequency synthesis table 48: miscellaneous timing parameters speed grade description symbol constraints f clkin ? 8 ? 7 ? 6units time required to achieve lock using dll outputs (1) lock_dll: lock_dll_60 > 60mhz us lock_dll_50_60 50 - 60 mhz us lock_dll_40_50 40 - 50 mhz us lock_dll_30_40 30 - 40 mhz us lock_dll_24_30 24 - 30 mhz us using clkfx outputs lock_fx_min ms lock_fx_max ms additional lock time with fine phase shifting lock_dll_fine_shift us fine phase shifting absolute shifting range fine_shift_range ns delay lines tap delay resolution dcm_tap_min ps dcm_tap_max ps notes: 1. ?? dll outputs ? is used here to describe the outputs: clk0, clk90, clk180, clk270, clk2x, clk2x180, and clkdv. table 49: frequency synthesis attribute min max clkfx_multiply 2 32 clkfx_divide 1 32
virtex-ii pro platform fpgas: dc and switching characteristics r ds083-3 (v1.0) january 31, 2002 www.xilinx.com 109 advance product specification 1-800-255-7778 parameter cross-reference revision history this section records the change history for this module of the data sheet. virtex-ii pro data sheet modules the virtex-ii pro data sheet contains the following modules:  virtex-ii pro platform fpgas: introduction and overview (module 1)  virtex-ii pro platform fpgas: functional description (module 2)  virtex-ii pro platform fpgas: dc and switching characteristics (module 3)  virtex-ii pro platform fpgas: pinout information (module 4) table 50: parameter cross-reference libraries guide data sheet dll_clkout_{min|max}_lf clkout_freq_{1x|2x|dv}_lf dfs_clkout_{min|max}_lf clkout_freq_fx_lf dll_clkin_{min|max}_lf clkin_freq_dll_lf dfs_clkin_{min|max}_lf clkin_freq_fx_lf dll_clkout_{min|max}_hf clkout_freq_{1x|dv}_hf dfs_clkout_{min|max}_hf clkout_freq_fx_hf dll_clkin_{min|max}_hf clkin_freq_dll_hf dfs_clkin_{min|max}_hf clkin_freq_fx_hf date version revision 01/31/02 1.0 initial xilinx release.
virtex-ii pro data sheet modules r 110 www.xilinx.com ds083-3 (v1.0) january 31, 2002 1-800-255-7778 advance product specification
ug012 (v1.0) january 31, 2002 www.xilinx.com 111 virtex-ii pro platform fpga handbook 1-800-255-7778 r part ii: virtex-ii pro user guide this section contains information on how to configure and use virtex-ii pro devices. the following topics are covered: chapter 1: timing models chapter 2: design considerations chapter 3: configuration chapter 4: pcb design considerations appendix a: bitgen and promgen switches and options appendix b: xc18v00 series proms
112 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter : r
ug012 (v1.0) january 31, 2002 www.xilinx.com 113 virtex-ii pro platform fpga handbook 1-800-255-7778 r chapter 1 timing models summary the following topics are covered in this chapter:  processor block timing model  rocket i/o timing model  clb / slice timing model  block selectram timing model  embedded multiplier timing model  iob timing model  pin-to-pin timing model  digital clock manager timing model introduction due to the large size and complexity of virtex-ii pro fpgas, understanding the timing associated with the various paths and functional elements has become a difficult and important problem. although it is not necessary to understand the various timing parameters in order to implement most designs using xilinx software, a thorough timing model can assist advanced users in analyzing critical paths, or planning speed-sensitive designs. the timing model chapter is broken up into sections consisting of three basic components:  functional element diagram - basic architectural schematic illustrating pins and connections.  timing parameters - virtex-ii pro data sheet timing parameter definitions.  timing diagram - illustrates functional element timing parameters relative to each other. this chapter was written with the xilinx timing analyzer software (trce) in mind. all pin names, parameter names, and paths are consistent with post route timing and pre- route static timing reports. use the models in this chapter in conjunction with both the timing analyzer software and the section on switching characteristics in the virtex-ii pro data sheet . most of the timing parameters found in the section on switching characteristics are described in this chapter.
114 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r processor block timing model introduction this section explains all of the timing parameters associated with the ibm ppc405 processor block. it is intended to be used in conjunction with module 3 of the virtex-ii pro data sheet and the timing analyzer (trce) report from xilinx software. for specific timing parameter values, refer to the data sheet. there are hundreds of signals entering and exiting the processor block. the model presented in this section treats the processor block as a ? black box. ? propagation delays internal to the processor block and core logic are ignored. signals are characterized with setup and hold times for inputs and clock to valid output times for outputs. signals are grouped by which interface block they originate from: processor local bus (plb) , device control register (dcr), external interrupt controller (eic), reset (rst), clock and power management (cpm), debug (dbg), powerpc miscellaneous (ppc), trace port (trc), jtag, instruction-side on-chip memory (isocm), and data-side on-chip memory (dsocm). figure 1-1: powerpc 405 processor block (simplified) cpm input cpm output reset input reset output ppc input ppc output plb input plb output ocm input ocm output dcr inputs dcr outputs jtag inputs jtag outputs trace inputs trace outputs debug inputs debug outputs eic input cpmc405clock jtgc405tck plbclk bramiscomclk bramdsocmclk ibm ppc405 processor block ug012_c1_01_121701
ug012 (v1.0) january 31, 2002 www.xilinx.com 115 virtex-ii pro platform fpga handbook 1-800-255-7778 processor block timing model r ta ble 1 -1 associates five clocks with their corresponding interface blocks. all signal parameters discussed in this section are characterized at a rising clock edge. exceptions to this rule, such as for the jtag signals, are pointed out where applicable. timing parameters parameter designations are constructed to reflect the functions they perform, as well as the interface blocks and clocks they correspond to. the following three sections explain the meaning of each of the basic timing parameter designations used in the tables: setup/hold times of inputs relative to clock basic format: parametername _ block where parametername = t with subscript string defining the timing relationship block = name of applicable ppc405 processor interface block (refer to ta ble 1-1 ) parametername format: t p x ck = setup time before clock edge t pck x = hold time after clock edge where x = c (control inputs) d (data inputs) setup/hold time (examples): t pcck _plb/t pckc _plb setup/hold times of plb control inputs relative to rising edge of plb clock t pdck _isocm/t pckd _isocm setup/hold times of bramisocm data inputs relative to rising edge of bramisocm clock table 1-1: clocks and corresponding processor interface blocks clock signal description interface cpmc405clock main processor core clock dcr eic rst cpm dbg ppc trc plbclk processor local bus clock plb jtagc405tck clock for jtag logic within the processor core jtag bramisocmclk clock for the isocm controller isocm bramdsocmclk clock for the dsocm controller dsocm
116 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r clock to output delays basic format: parametername _ block where parametername = t with subscript string defining the timing relationship block = name of applicable ppc405 processor interface block (refer to ta ble 1-1 ) parametername format: t pck x = delay time from clock edge to output where x = ao (address outputs) co (control outputs) do (data outputs) output delay time (examples): t pckao _isocm rising edge of bramisocm clock to bramisocm address outputs t pckco _dcr rising edge of core clock to dcr control outputs t pckdo _plb rising edge of plb clock to plb data outputs clock pulse width parametername format: t x pwh = minimum pulse width, high state t x pwl = minimum pulse width, low state where x =c(core) p (plb) j (jtag) i(isocm) d(dsocm) pulse width (examples): t cpwh minimum pulse width, core clock, high state t ppwl minimum pulse width, plb clock, low state timing parameter tables and diagram the following five tables list the timing parameters as reported by the implementation tools relative to the clocks given in ta ble 1 -1 , along with the signals from the processor block that correspond to each parameter. a timing diagram ( figure 1-2 ) illustrates the timing relationships.  ta ble 1 -2 , parameters relative to the core clock (cpmc405clock) , page 117  ta ble 1 -3 , parameters relative to the plb clock (plbclk) , page 118  ta ble 1 -4 , parameters relative to the jtag clock (jtagc405tck) , page 119  ta ble 1 -5 , parameters relative to the isocm clock (bramisocmclk) , page 119  ta ble 1 -6 , parameters relative to the dsocm clock (bramdsocmclk) , page 120
ug012 (v1.0) january 31, 2002 www.xilinx.com 117 virtex-ii pro platform fpga handbook 1-800-255-7778 processor block timing model r table 1-2: parameters relative to the core clock (cpmc405clock) parameter function signals setup/hold: t pcck _dcr/t pckc _dcr control inputs dcrc405ack t pdck _dcr/t pckd _dcr data inputs dcrc405dbusin[0:31] t pcck _cpm/t pckc _cpm control inputs cpmc405timertick cpmc405cpuclken cpmc405timerclken cpmc405jtagclken t pcck _rst/t pckc _rst control inputs rstc405resetchip rstc405resetcore rstc405resetsys t pcck _dbg/t pckc _dbg control inputs dbgc405debughalt dbgc405unconddebugevent t pcck _trc/t pckc _trc control inputs trcc405tracedisable trcc405triggereventin t pcck _eic/t pckc _eic control inputs eicc405critinputirq eicc405extinputirq clock to out: t pckco _dcr control outputs c405dcrread c405dcrwrite t pckao _dcr address outputs c405dcrabus[0:9] t pckdo _dcr data outputs c405dcrdbusout[0:31] t pckco _cpm control outputs c405cpmmsree c405cpmmsrce c405cpmtimerirq c405cpmtimerresetreq c405cpmcoresleepreq t pckco _rst control outputs c405rstchipresetreq c405rstcoreresetreq c405rstsysresetreq t pckco _dbg control outputs c405dbgmsrwe c405dbgstopack c405dbgwbcomplete c405dbgwbfull c405dbgwbiar[0:29] t pckco _ppc control outputs c405xxxmachinecheck t pckco _trc control outputs c405trccycle c405trcevenexecutionstatus[0:1] c405trcoddexecutionstatus[0:1] c405trctracestatus[0:3] c405trctriggereventout c405trctriggereventtype[0:10] clock: t cpwh clock pulse width, high state cpmc405clock t cpwl clock pulse width, low state cpmc405clock
118 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r table 1-3: parameters relative to the plb clock (plbclk) parameter function signals setup/hold: t pcck _plb/t pckc _plb contol inputs plbc405dcuaddrack plbc405dcubusy plbc405dcuerr plbc405dcurddack plbc405dcussize1 plbc405dcuwrdack plbc405icurdwdaddr[1:3] plbc405dcurdwdaddr[1:3] plbc405icuaddrack plbc405icubusy plbc405icuerr plbc405icurddack plbc405icussize1 t pdck _plb/t pckd _plb data inputs plbc405icurddbus[0:63] plbc405dcurddbus[0:63] clock to out: t pckco _plb control outputs c405plbdcuabort c405plbdcube[0:7] c405plbdcucacheable c405plbdcuguarded c405plbdcupriority[0:1] c405plbdcurequest c405plbdcurnw c405plbdcusize2 c405plbdcuu0attr c405plbdcuwritethru c405plbicuabort c405plbicucacheable c405plbicupriority[0:1] c405plbicurequest c405plbicusize[2:3] c405plbicuu0attr t pckdo _plb data outputs c405plbdcuwrdbus[0:63] t pckao _plb address outputs c405plbdcuabus[0:31] c405plbicuabus[0:29] clock: t ppwh clock pulse width, high state plbclk t ppwl clock pulse width, low state plbclk
ug012 (v1.0) january 31, 2002 www.xilinx.com 119 virtex-ii pro platform fpga handbook 1-800-255-7778 processor block timing model r table 1-4: parameters relative to the jtag clock (jtagc405tck) parameter function signals setup/hold: t pcck _jtag/t pckc _jtag control inputs jtgc405bndscantdo jtgc405tdi jtgc405tms jtgc405trstneg cpmc405coreclkinactive dbgc405extbusholdack clock to out: t pckco _jtag control outputs c405jtgcapturedr c405jtgextest (1) c405jtgpgmout (2) c405jtgshiftdr c405jtgtdo (1) c405jtgtdoen (1) c405jtgupdatedr clock: t jpwh clock pulse width, high state jtgc405tck t jpwl clock pulse width, low state jtgc405tck notes: 1. synchronous to the negative edge of jtgc405tck 2. synchronous to cpmc405clock table 1-5: parameters relative to the isocm clock (bramisocmclk) parameter function signals setup/hold: t pdck _isocm/t pckd _isocm data inputs bramisocmrddbus[0:63] clock to out: t pckco _isocm control outputs isocmbramen isocmbramoddwriteen isocmbramevenwriteen t pckao _isocm address outputs isocmbramrdabus[8:28] isocmbramwrabus[8:28] t pckdo _isocm data outputs isocmbramwrdbus[0:31] clock: t ipwh clock pulse width, high state bramisocmclk t ipwl clock pulse width, low state bramisocmclk
120 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r table 1-6: parameters relative to the dsocm clock (bramdsocmclk) parameter function signals setup/hold: t pdck _dsocm/t pckd _dsocm data inputs bramdsocmrddbus[0:31] clock to out: t pckco _dsocm control outputs dsocmbramen dsocmbrambytewrite[0:3] dsocmbusy t pckdo _dsocm data outputs dsocmbramwrdbus[0:31] t pckao _dsocm address outputs dsocmbramabus[8:29] clock: t dpwh clock, pulse width high bramdsocmclk t dpwl clock, pulse width low bramdsocmclk figure 1-2: processor block timing relative to clock edge clock control inputs control outputs data outputs data inputs address outputs t x pwh t pcck t x pwl t pckc t pckco t pckdo t pckao t pdck t pckd ug012_c1_02_121701 12
ug012 (v1.0) january 31, 2002 www.xilinx.com 121 virtex-ii pro platform fpga handbook 1-800-255-7778 rocket i/o timing model r rocket i/o timing model introduction this section explains all of the timing parameters associated with the rocket i/o ? transceiver core. it is intended to be used in conjunction with module 3 of the virtex-ii pro data sheet and the timing analyzer (trce) report from xilinx software. for specific timing parameter values, refer to the data sheet. there are many signals entering and exiting the rocket i/o core. (refer to figure 1-3 .) the model presented in this section treats the rocket i/o core as a ? black box. ? propagation delays internal to the rocket i/o core logic are ignored. signals are characterized with setup and hold times for inputs, and with clock to valid output times for outputs. there are five clocks associated with the rocket i/o core, but only three of these clocks ? rxusrclk, rxusrclk2, and txusrclk2 ? have i/os that are synchronous to them. the following table gives a brief description of all of these clocks. for an in-depth discussion of clocking the rocket i/o core, refer to the rocket i/o users guide . table 1-7: rocket i/o clock descriptions clock signal description refclk main reference clock for rocket i/o txusrclk clock used for writing the tx buffer. frequency-locked to refclk. txusrclk2 clocks transmission data and status and reconfiguration data between the transceiver and the fpga core. relationship between txusrclk2 and txusrclk depends on width of transmission data path. rxusrclk clock used for reading the rx elastic buffer. clocks chbondi and chbono into and out of the transceiver. typically the same as txusrclk. rxusrclk2 clocks receiver data and status between the transceiver and the fpga core. typically the same as txusrclk2. relationship between rxusrclk2 and rxusrclk depends on width of receiver data path.
122 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r timing parameters parameter designations are constructed to reflect the functions they perform, as well as the i/o signals to which they are synchronous. the following subsections explain the meaning of each of the basic timing parameter designations used in the tables. figure 1-3: rocket i/o block diagram fpga fabric multi-gigabit transceiver core serializer rxp txp clock manager power down package pins deserializer comma detect realign 8b/10b decoder tx fifo crc check crc channel bonding and clock correction chbondi[3:0] chbondo[3:0] 8b/10b encoder rx elastic buffer output polarity rxn gnda txn ds083-2_04_010202 powerdown rxrecclk rxpolarity rxrealign rxcommadet rxreset rxclkcorcnt rxlossofsync rxdata[15:0] rxdata[31:16] rxcheckingcrc rxcrcerr rxnotintable[3:0] rxdisperr[3:0] rxcharisk[3:0] rxchariscomma[3:0] rxrundisp[3:0] rxbufstatus[1:0] enchansync rxusrclk rxusrclk2 chbonddone txbuferr txdata[15:0] txdata[31:16] txbypass8b10b[3:0] txcharisk[3:0] txchardispmode[3:0] txchardispval[3:0] txkerr[3:0] txrundisp[3:0] txpolarity txforcecrcerr txinhibit loopback[1:0] txreset refclk refclk2 refclksel enpcommaalign enmcommaalign txusrclk txusrclk2 vtrx avccauxrx vttx avccauxtx 2.5v rx tx/rx gnd termination supply rx 2.5v tx termination supply tx serial loopback path parallel loopback path
ug012 (v1.0) january 31, 2002 www.xilinx.com 123 virtex-ii pro platform fpga handbook 1-800-255-7778 rocket i/o timing model r setup/hold times of inputs relative to clock basic format: parametername _ signal where parametername = t with subscript string defining the timing relationship signal = name of rocket i/o signal synchronous to the clock parametername format: t g x ck = setup time before clock edge t gck x = hold time after clock edge where x = c (control inputs) d (data inputs) setup/hold time (examples): t gcck _rrst/t gckc _plb setup/hold times of rx reset input relative to rising edge of rxusrclk2 t gdck _tdat/t gckd _tdat setup/hold times of tx data inputs relative to rising edge of txusrclk2 clock to output delays basic format: parametername _ signal where parametername = t with subscript string defining the timing relationship signal = name of rocket i/o signal synchronous to the clock parametername format: t gck x = delay time from clock edge to output where x =co (control outputs) do (data outputs) st (status outputs) output delay time (examples): t gckco _chbo rising edge of rxusrclk to channel bond outputs t gckdo _rdat rising edge of rxusrclk2 to rx data outputs t gckst _tberr rising edge of txusrclk2 to tx buffer err output clock pulse width parametername format: t x pwh = minimum pulse width, high state t x pwl = minimum pulse width, low state where x = ref (refclk) tx (txusrclk) tx2 (txusrclk2) rx (rxusrclk) rx2 (rxusrclk2) pulse width (examples): t tx2pwl minimum pulse width, tx2 clock, low state t refpwh minimum pulse width, reference clock, high state
124 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r timing parameter tables and diagram the following four tables list the timing parameters as reported by the implementation tools relative to the clocks given in ta ble 1 -7 , along with the rocket i/o signals that are synchronous to each clock. (no signals are synchronous to refclk or txusrclk.) a timing diagram ( figure 1-4 ) illustrates the timing relationships.  ta ble 1 -8 , parameters relative to the rx user clock (rxusrclk) , page 124  ta ble 1 -9 , parameters relative to the rx user clock2 (rxusrclk2) , page 124  ta ble 1 -10 , parameters relative to the tx user clock2 (txusrclk2) , page 125  ta ble 1 -11 , miscellaneous clock parameters , page 125 table 1-8: parameters relative to the rx user clock (rxusrclk) parameter function signals setup/hold: t gcck _chbi/t gckc _chbi control inputs chbondi[3:0] clock to out: t gckco _chbo control outputs chbondo[3:0] clock: t rxpwh clock pulse width, high state rxusrclk t rxpwl clock pulse width, low state rxusrclk table 1-9: parameters relative to the rx user clock2 (rxusrclk2) parameter function signals setup/hold: t gcck _rrst/t gckc _rrst control input rxreset t gcck _rpol/t gckc _rpol control input rxpolarity t gcck _ecsy/t gckc _ecsy control input enchansync clock to out: t gckst _rnit status outputs rxnotintable[3:0] t gckst _rderr status outputs rxdisperr[3:0] t gckst _rcmch status outputs rxchariscomma[3:0] t gckst _align status output rxrealign t gckst _cmdt status output rxcommadet t gckst _rlos status outputs rxlossofsync[1:0] t gckst _rcccnt status outputs rxclkcorcnt[2:0] t gckst _rbsta status outputs rxbufstatus[1:0] t gckst _rccrc status output rxcheckingcrc t gckst _rcrce status output rxcrcerr t gckst _chbd status output chbonddone t gckst _rkch status outputs rxcharisk[3:0] t gckst _rrdis status outputs rxrundisp[3:0] t gckdo _rdat data outputs rxdata[31:0] clock: t rx2pwh clock pulse width, high state rxusrclk2 t rx2pwh clock pulse width, low state rxusrclk2
ug012 (v1.0) january 31, 2002 www.xilinx.com 125 virtex-ii pro platform fpga handbook 1-800-255-7778 rocket i/o timing model r table 1-10: parameters relative to the tx user clock2 (txusrclk2) parameter function signals setup/hold: t gcck _cfgen/t gckc _cfgen control inputs configenable t gcck _tbyp/t gckc _tbyp control inputs txbypass8b10b[3:0] t gcck _tcrce/t gckc _tcrce control inputs txforcecrcerr t gcck _tpol/t gckc _tpol control inputs txpolarity t gcck _tinh/t gckc _tinh control inputs txinhibit t gcck _lbk/t gckc _lbk control inputs loopback[1:0] t gcck _trst/t gckc _trst control inputs txreset t gcck _tkch/t gckc _tkch control inputs txcharisk[3:0] t gcck _tcdm/t gckc _tcdm control inputs txchardispmode[3:0] t gcck _tcdv/t gckc _tcdv control inputs txchardispval[3:0] t gdck _cfgin/t gckd _cfgin data inputs configin t gdck _tdat/t gckd _tdat data inputs txdata[31:0] clock to out: t gckst _tberr status outputs txbuferr t gckst _tkerr status outputs txkerr[3:0] t gckdo _trdis data outputs txrundisp[3:0] t gckdo _cfgout data outputs configout clock: t tx2pwh clock pulse width, high state txusrclk2 t tx2pwh clock pulse width, low state txusrclk2 table 1-11: miscellaneous clock parameters parameter function signals clock: t refpwh clock pulse width, high state refclk (1) t refpwl clock pulse width, low state refclk (1) t txpwh clock pulse width, high state txusrclk (2) t txpwl clock pulse width, low state txusrclk (2) notes: 1. refclk is not synchronous to any rocket i/o signals. 2. txusrclk is not synchronous to any rocket i/o signals.
126 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r clb / slice timing model introduction this section describes all timing parameters reported in the virtex-ii pro data sheet that are associated with slices and configurable logic blocks (clbs). it consists of three parts corresponding to their respective (switching characteristics) sections in the data sheet:  general slice timing model and parameters (clb switching characteristics)  slice distributed ram timing model and parameters (clb distributed ram switching characteristics)  slice srl timing model and parameters (clb srl switching characteristics) figure 1-4: rocket i/o timing relative to clock edge clock control inputs control outputs data outputs data inputs t x gwh t gcck t x gwl t gckc t gckco t gckdo t gdck t gckd ug012_106_02_100101 12
ug012 (v1.0) january 31, 2002 www.xilinx.com 127 virtex-ii pro platform fpga handbook 1-800-255-7778 clb / slice timing model r general slice timing model and parameters figure 1-5 illustrates the details of a virtex-ii pro slice. note: some elements of the virtex-ii pro slice have been omitted for clarity. only the elements relevant to the timing paths described in this section are shown. figure 1-5: general slice diagram lut fx g inputs fxina muxfx fxinb d ff/lat q rev d ce clk sr by bx ce clk sr y dy yq f5 muxf5 x lut f inputs d ff/lat q rev d ce clk sr dx xq ug002_c3_017_113000
128 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r timing parameters parameter function control signal description combinatorial delays t ilo f/g inputs to x/y outputs propagation delay from the f/g inputs of the slice, through the look-up tables (luts), to the x/y outputs of the slice. t if5 f/g inputs to f5 output propagation delay from the f/g inputs of the slice, through the luts and muxf5 to the f5 output of the slice. t if5x f/g inputs to x output propagation delay from the f/g inputs of the slice, through the luts and muxf5 to the x output of the slice. t ifxy fxina/fxinb inputs to y output propagation delay from the fxina/fxinb inputs, through muxfx to the y output of the slice. t ifnctl transparent latch input to xq/yq outputs incremental delay through a transparent latch to xq/yq outputs. sequential delays t cko ff clock (clk) to xq/yq outputs time after the clock that data is stable at the xq/yq outputs of the slice sequential elements (configured as a flip-flop). t cklo latch clock (clk) to xq/yq outputs time after the clock that data is stable at the xq/yq outputs of the slice sequential elements (configured as a latch). setup and hold for slice sequential elements t xxck = setup time (before clock edge) t ckxx = hold time (after clock edge) the following descriptions are for setup times only. t dick /t ckdi bx/by inputs time before clock (clk) that data from the bx or by inputs of the slice must be stable at the d-input of the slice sequential elements (configured as a flip-flop). t dyck /t ckdy dy input time before clock (clk) that data from the dy input of the slice must be stable at the d-input of the slice sequential elements (configured as a flip-flop). t dxck /t ckdx dx input time before clock (clk) that data from the dx input of the slice must be stable at the d-input of the slice sequential elements (configured as a flip-flop). t ceck /t ckce ce input time before clock (clk) that the ce (clock enable) input of the slice must be stable at the ce-input of the slice sequen- tial elements (configured as a flip-flop).
ug012 (v1.0) january 31, 2002 www.xilinx.com 129 virtex-ii pro platform fpga handbook 1-800-255-7778 clb / slice timing model r figure 1-6 illustrates general timing characteristics of a virtex-ii pro slice.  at time t ceck before clock event 1, the clock-enable signal becomes valid-high at the ce input of the slice register.  at time t dyck before clock event 1, data from the dy input becomes valid-high at the d input of the slice register and is reflected on the yq pin at time t cko after clock event 1*.  at time t rck before clock event 3, the sr signal (configured as synchronous reset in this case) becomes valid-high, resetting the slice register, and this is reflected on the yq pin at time t cko after clock event 3. * note: in most cases software uses the dx/dy inputs to route data to the slice registers when at all possible. this is the fastest path to the slice registers and saves other slice routing resources. t rck /t ckr sr/by inputs time before clk that the sr (set/reset) and the by (rev) inputs of the slice must be stable at the sr/rev-inputs of the slice sequential elements (configured as a flip- flop). synchronous set/reset only. clock clk t ch minimum pulse width, high. t cl minimum pulse width, low. set/reset t rpw minimum pulse width for the sr (set/reset) and by (rev) pins. t rq propagation delay for an asynchronous set/reset of the slice sequential elements. from sr/by inputs to xq/yq outputs. f tog toggle frequency - maximum frequency that a clb flip-flop can be clocked: 1/(t ch +t cl ) figure 1-6: general slice timing diagram parameter function control signal description clk 123 ce dy ( data ) sr (reset) yq (out) t ceck t dyck t rck t cko t cko ug002_c3_018_101600
130 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r slice distributed ram timing model and parameters figure 1-7 illustrates the details of distributed ram implemented in a virtex-ii pro slice. note: some elements of the virtex-ii pro slice have been omitted for clarity. only the elements relevant to the timing paths described in this section are shown. figure 1-7: slice distributed ram diagram ram g4 fxina fxinb address d fx y f5 x we wsgen ck g3 g2 g1 slicewe[2:0] ws di ws di by data_in or address ram g4 clk sr (write enable) d g3 g2 g1 bx data_in or address address ug002_c3_019_1204 00 muxfx muxf5
ug012 (v1.0) january 31, 2002 www.xilinx.com 131 virtex-ii pro platform fpga handbook 1-800-255-7778 clb / slice timing model r timing parameters parameter function control signal description sequential delays for slice lut configured as ram (distributed ram) t shcko16 clk to x/y outputs (we active) in 16x1 mode time after the clock (clk) of a write operation that the data written to the distributed ram (in 16x1 mode) is stable on the x/y outputs of the slice. t shcko32 clk to x/y outputs (we active) in 32x1 mode time after the clock (clk) of a write operation that the data written to the distributed ram (in 32x1 mode) is stable on the x/y outputs of the slice. t shckof5 clk to f5 output (we active) time after the clock (clk) of a write operation that the data written to the distributed ram is stable on the f5 output of the slice. setup and hold for slice lut configured as ram (distributed ram) t xs = setup time (before clock edge) t xh = hold time (after clock edge) the following descriptions are for setup times only. t ds /t dh bx/by data inputs (di) time before the clock that data must be stable at the di input of the slice lut (configured as ram), via the slice bx/by inputs. t as /t ah f/g address inputs time before the clock that address signals must be stable at the f/g inputs of the slice lut (configured as ram). t wes /t weh we input (sr) time before the clock that the write enable signal must be stable at the we input of the slice lut (configured as ram). clock clk t wph minimum pulse width, high (for a distributed ram clock). t wpl minimum pulse width, low (for a distributed ram clock). t wc minimum clock period to meet address write cycle time.
132 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r figure 1-8 illustrates the timing characteristics of a 16-bit distributed ram implemented in a virtex-ii pro slice (lut configured as ram). clock event 1: write operation during a write operation, the contents of the memory at the address on the addr inputs is changed. the data written to this memory location is reflected on the x/y outputs synchronously.  at time t wes before clock event 1, the write enable signal (we) becomes valid-high, enabling the ram for the following write operation.  at time t as before clock event 1, the address (2) becomes valid at the f/g inputs of the ram.  at time t ds before clock event 1, the data becomes valid (1) at the di input of the ram and is reflected on the x/y output at time t shcko16 after clock event 1. clock event 2: read operation all read operations are asynchronous in distributed ram. as long as write-enable (we) is low, the address bus can be asserted at any time, and the contents of the ram at that address are reflected on the x/y outputs after a delay of length t ilo (propagation delay through a lut). note that the address (f) is asserted after clock event 2, and that the contents of the ram at that location are reflected on the output after a delay of length t ilo . figure 1-8: slice distributed ram timing diagram 1 2 3 4 5 6 7 t wph t wc t as t wpl t ds t wes t shck016 clk addr we data_in data_out (x/y output) 2 1 1 mem(f) write read read write write write 0 1 0 mem(e) f x 3 0 4 1 5 0 e x ug002_c3_020_031301 t ilo t ilo
ug012 (v1.0) january 31, 2002 www.xilinx.com 133 virtex-ii pro platform fpga handbook 1-800-255-7778 clb / slice timing model r slice srl timing model and parameters figure 1-9 illustrates shift register implementation in a virtex-ii pro slice. note: some elements of the virtex-ii pro slice have been omitted for clarity. only the elements relevant to the timing paths described in this section are shown. figure 1-9: slice slr diagram srl g3 fxina fxinb address d mc15 srl d mc15 fx yb f5 we wsgen ck g2 g1 g0 ws di ws di y by (data_in or address) f3 clk sr shift_in f2 f1 f0 bx (data_in or address) shift_out shift_in x xb address muxf5 muxfx ug002_c3_021_113000
134 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r timing parameters figure 1-10 illustrates the timing characteristics of a 16-bit shift register implemented in a virtex-ii pro slice (lut configured as srl). parameter function control signal description sequential delays for slice lut configured as srl (select shift register) t reg clk to x/y outputs time after the clock (clk) of a write operation that the data written to the srl is stable on the x/y outputs of the slice. t cksh clk to shiftout time after the clock (clk) of a write operation that the data written to the srl is stable on the shiftout or xb/yb outputs of the slice. t regf5 clk to f5 output time after the clock (clk) of a write operation that the data written to the srl is stable on the f5 output of the slice. setup/hold for slice lut configured as srl (select shift register) t xxs = setup time (before clock edge) t xxh = hold time (after clock edge) the following descriptions are for setup times only. t srlds / t srldh bx/by data inputs (di) time before the clock that data must be stable at the di input of the slice lut (configured as srl), via the slice bx/by inputs. t wss /t wsh ce input (we) time before the clock that the write enable signal must be stable at the we input of the slice lut (configured as srl). clock clk t srph minimum pulse width, high (for an srl clock). t srpl minimum pulse width, low (for an srl clock). figure 1-10: slice slr timing diagram 1 2 3 4 5 6 16 t srph t wss t srpl t srlds t reg t regxb clk shift_in (di) write enable (sr) address data out (d) msb (mc15) 0 0 x xx x x x xx 0110 0 1101 21 11 01 0 ug002_c3_022_102700 t ilo t ilo
ug012 (v1.0) january 31, 2002 www.xilinx.com 135 virtex-ii pro platform fpga handbook 1-800-255-7778 block selectram timing model r clock event 1: shift_in during a write (shift_in) operation, the single-bit content of the register at the address on the addr inputs is changed, as data is shifted through the srl. the data written to this register is reflected on the x/y outputs synchronously, if the address is unchanged during the clock event. if the addr inputs are changed during a clock event, the value of the data at the addressable output (d) is invalid.  at time t wss before clock event 1, the write enable signal (sr) becomes valid-high, enabling the srl for the write operation that follows.  at time t srlds before clock event 1 the data becomes valid (0) at the di input of the srl and is reflected on the x/y output after a delay of length t reg after clock event 1*. * note: since the address 0 is specified at clock event 1, the data on the di input is reflected at the d output, because it is written to register 0. clock event 2: shift_in  at time t srlds before clock event 2, the data becomes valid (1) at the di input of the srl and is reflected on the x/y output after a delay of length t reg after clock event 2*. * note: since the address 0 is still specified at clock event 2, the data on the di input is reflected at the d output, because it is written to register 0. clock event 3: shift_in / addressable (asynchronous) read all read operations are asynchronous. if the address is changed (between clock events), the contents of the register at that address are reflected at the addressable output (x/y outputs) after a delay of length t ilo (propagation delay through a lut).  at time t srlds before clock event 3 the data becomes valid (1) at the di input of the srl, and is reflected on the x/y output t reg time after clock event 3.  notice that the address is changed (from 0 to 2) some time after clock event 3. the value stored in register 2 at this time is a 0 (in this example, this was the first data shifted in), and it is reflected on the x/y output after a delay of length t ilo . clock event 16: msb (most significant bit) changes  at time t regxb after clock event 16, the first bit shifted into the srl becomes valid (logical 0 in this case) on the xb output of the slice via the mc15 output of the lut (srl). block selectram timing model introduction this section describes the timing parameters associated with the block selectram (illustrated in figure 1-11 ) in virtex-ii pro fpga devices. this section is intended to be used with the section on switching characteristics in the virtex-ii pro data sheet and the timing analyzer (trce) report from xilinx software. for specific timing parameter values, refer to the switching characteristics section in the virtex-ii pro data sheet .
136 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r timing parameters figure 1-11: block selectram block diagram dop dip addr we en ssr clk ds031_10_102000 di do parameter function control signal description setup and hold relative to clock (clk) t bxck = setup time (before clock edge) t bckx = hold time (after clock edge) the following descriptions are for setup times only. t back /t bcka address inputs addr time before the clock that address signals must be stable at the addr inputs of the block ram. t bdck /t bckd data inputs di time before the clock that data must be stable at the di inputs of the block ram. t beck /t bcke enable en time before the clock that the enable signal must be stable at the en input of the block ram. t brck /t bckr synchronous set/reset ssr time before the clock that the synchronous set/reset signal must be stable at the ssr input of the block ram. t bwck /t bckw write enable we time before the clock that the write enable signal must be stable at the we input of the block ram. clock to out t bcko clock to output clk to do time after the clock that the output data is stable at the do outputs of the block ram. clock t bpwh clock clk minimum pulse width, high. t bpwl clock clk minimum pulse width, low.
ug012 (v1.0) january 31, 2002 www.xilinx.com 137 virtex-ii pro platform fpga handbook 1-800-255-7778 block selectram timing model r the timing diagram in figure 1-12 describes a single-port block ram in write-first mode. the timing for read-first and no-change modes are similar (see design considerations .) at time 0, the block ram is disabled; en (enable) is low. clock event 1 read operation: during a read operation, the contents of the memory at the address on the addr inputs are unchanged.  t back before clock event 1, address 00 becomes valid at the addr inputs of the block ram.  at time t beck before clock event 1, enable goes high at the en input of the block ram, enabling the memory for the read operation that follows.  at time t bcko after clock event 1, the contents of the memory at address 00 become stable at the do pins of the block ram. clock event 2 write operation: during a write operation, the content of the memory at the location specified by the address on the addr inputs is replaced by the value on the di pins and is immediately reflected on the output latches (in write-first mode); en (enable) is high.  at time t back before clock event 2, address 0f becomes valid at the addr inputs of the block ram.  at time t bdck before clock event 2, data cccc becomes valid at the di inputs of the block ram.  at time t bwck before clock event 2, write enable becomes valid at the we following the block ram.  at time t bcko after clock event 2, data cccc becomes valid at the do outputs of the block ram. figure 1-12: block selectram timing diagram addr di do en ssr we clk 00 dddd t back t bpwh t bdck t bcko mem (00) t beck t bwck disabled disabled read write read reset * write mode = "write_first" ** srval = 0101 0f 7e 8f 20 cccc bbbb aaaa 0000 cccc* mem (7e) 0101** ug002_c3_002_100300 123 5 4 t bpwl t brck
138 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r clock event 4 ssr (synchronous set/reset) operation during an ssr operation, initialization parameter value srval is loaded into the output latches of the block selectram. the ssr operation does not change the contents of the memory and is independent of the addr and di inputs.  at time t brck before clock event 4, the synchronous set/reset signal becomes valid (high) at the ssr input of the block ram.  at time t bcko after clock event 4, the srval 0101 becomes valid at the do outputs of the block ram. clock event 5 disable operation: de-asserting the enable signal en disables any write, read or ssr operation. the disable operation does not change the contents of the memory or the values of the output latches.  at time t beck before clock event 5, the enable signal becomes valid (low) at the en input of the block ram.  after clock event 5, the data on the do outputs of the block ram is unchanged. timing model figure 1-13 illustrates the delay paths associated with the implementation of block selectram. this example takes the simplest paths on and off chip (these paths can vary greatly depending on the design). this timing model demonstrates how and where the block selectram timing parameters are used. net = varying interconnect delays t iopi = pad to i-output of iob delay t ioop = o-input of iob to pad delay t gi0o = bufgmux delay figure 1-13: block selectram timing model block selectram ug002_c3_003_121701 fpga [ t iopi + net* ] + t bwck write enable [ t iopi + net ] + t beck enable [ t iopi + net ] + t back address [ t iopi + net ] + t bdck data [ t gi0o + net ] clock [ t iopi + net ] bufgmux t bcko + [ net + t ioop ] data [ t iopi + net ] + t brck synchronous set/reset do di addr we en ssr clk
ug012 (v1.0) january 31, 2002 www.xilinx.com 139 virtex-ii pro platform fpga handbook 1-800-255-7778 embedded multiplier timing model r embedded multiplier timing model introduction this section explains all timing parameters associated with the use of embedded 18-bit x 18-bit multipliers in virtex-ii pro fpgas (see figure 1-14 ). the propagation delays through the embedded multiplier differ based on the size of the multiplier function implemented. the longest delay through the multiplier is to the highest order bit output (p35). therefore, if an 18-bit x 18-bit signed multiplier is implemented, the worst-case delay for this function is the longest delay associated with the embedded multiplier block. if smaller (lsb) multipliers are used, shorter delays can be realized. this section is intended to be used in conjunction with the section on switching characteristics in the virtex-ii pro data sheet and the timing analyzer (trce) report from xilinx software. for specific timing parameter values, refer to the virtex-ii pro data sheet . timing parameters propagation delays (all worst-case) ta ble 1 -12 lists the different values for the t mult timing parameter reported by the timing analyzer software. these values correspond to the propagation delay through the multiplier to a specific output pin of the multiplier block. figure 1-14: embedded 18-bit x 18-bit multiplier block mult 18 x 18 a[17:0] p[35:0] b[17:0] multiplier block ds031_40_100400 table 1-12: multiplier switching characteristics description symbol propagation delay to output pin input to pin35 t mult input to pin34 t mult input to pin33 t mult input to pin32 t mult input to pin31 t mult input to pin30 t mult input to pin29 t mult input to pin28 t mult input to pin27 t mult input to pin26 t mult input to pin25 t mult input to pin24 t mult input to pin23 t mult
140 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r the shortest delay is to pin 0 and the longest delay to pin 35. notice that the delay-to-pin ratio is essentially linear (see figure 1-15 ). this implies that smaller multiply functions are faster than larger ones. this is true as long as the lsb inputs are used. input to pin22 t mult input to pin21 t mult input to pin20 t mult input to pin19 t mult input to pin18 t mult input to pin17 t mult input to pin16 t mult input to pin15 t mult input to pin14 t mult input to pin13 t mult input to pin12 t mult input to pin11 t mult input to pin10 t mult input to pin9 t mult input to pin8 t mult input to pin7 t mult input to pin6 t mult input to pin5 t mult input to pin4 t mult input to pin3 t mult input to pin2 t mult input to pin1 t mult input to pin0 t mult figure 1-15: pin-to-delay ratio curve table 1-12: multiplier switching characteristics (continued) description symbol pin 35 pin 0 delay ug002_c3_023_092500
ug012 (v1.0) january 31, 2002 www.xilinx.com 141 virtex-ii pro platform fpga handbook 1-800-255-7778 iob timing model r figure 1-16 illustrates the result (outputs) of a 4-bit x 4-bit unsigned multiply implemented in an embedded multiplier block. at time 0 the two 4-bit numbers to be multiplied become valid at the a[0..3], b[0..3] inputs to the embedded multiplier. the result appears on the output pins p[0..7] in a staggered fashion. first, p0 becomes valid at time t mult (p0), followed by each subsequent output pin, until p7 becomes valid at time t mult (p7). in this case, the delay for this multiply function should correspond to that of pin 7. in other words, the result is not valid until all output pins become valid. iob timing model introduction this section describes all timing parameters associated with the virtex-ii pro iob. the section consists of three parts:  iob input timing model and parameters  iob output timing model and parameters figure 1-16: embedded multiplier block timing diagram t mult (p0) t mult (p1) t mult (p2) t mult (p3) t mult (p4) t mult (p5) t mult (p6) t mult (p7) x x x x x x x x 1111 1 0 0 0 0 1 1 1 ug002_c3_024_101300 input [3..0] time 0 input [3..0] p0 p1 p2 p3 p4 p5 p6 p7 1111
142 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r  iob 3-state timing model and parameters this section is intended to be used in conjunction with the section on switching characteristics in the virtex-ii pro data sheet and the timing analyzer (trce) report from xilinx software. for specific timing parameter values, refer to the virtex-ii pro data sheet . a note on i/o standard adjustments: the "iob input and output switching characteristics standard adjustments" tables in the switching characteristics section of the virtex-ii pro data sheet are delay adders (+/-) to be added to all timing parameter values associated with the iob and the global clock (see pin-to-pin timing model , page 151 ), if an i/o standard other than lvttl is used. all values specified in the virtex-ii pro data sheet for the parameters covered in this section are specified for lvttl. if another i/o standard is used, these delays change. however, there are several exceptions. the following parameters associated with the pad going to high-impedance (3-state buffer off) should not be adjusted:  t iothz  t iotlphz  t gts  t iockhz  t iosrhz iob input timing model and parameters figure 1-17 illustrates iob inputs . figure 1-17: virtex-ii pro iob input diagram ff latch sr rev d1 i iq1 iq2 q1 ce ff latch sr rev d2 q2 ce ice iclk1 pa d iclk2 rev sr ug002_c3_004_101300
ug012 (v1.0) january 31, 2002 www.xilinx.com 143 virtex-ii pro platform fpga handbook 1-800-255-7778 iob timing model r timing parameters parameter function control signal description propagation delays t iopi propagation delay from the pad to i output of the iob with no delay adder. t iopid propagation delay from the pad to i output of the iob with the delay adder. t iopli propagation delay from the pad to iq output of the iob via transparent latch with no delay adder. t ioplid propagation delay from the pad to iq output of the iob via transparent latch with the delay adder. setup and hold with respect to clock at iob input register t xxck = setup time (before clock edge) t xxckxx = hold time (after clock edge) the following descriptions are for setup times only. t iopick /t ioickp id input with no delay time before the clock that the input signal from the pad must be stable at the id input of the iob input register, with no delay. t iopickd /t ioickpd id input with delay time before the clock that the input signal from the pad must be stable at the id input of the iob input register, with delay. t ioiceck /t iockice ice input time before the clock that the clock enable signal must be stable at the ice input of the iob input register. t iosrcki sr input (iff, synchronous) time before the clock that the set/reset signal must be stable at the sr input of the iob input register. clock to out t iockiq clock (clk) to (iq) output time after the clock that the output data is stable at the iq output of the iob input register. set/reset delays t iosriq sr input to iq (asynchronous) time after the set/reset signal of the iob is toggled that the output of the iob input register (iq) reflects the signal. t gsrq gsr to output iq time after the global set/reset is toggled that the output of the iob input register (iq) reflects the set or reset.
144 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r figure 1-18 illustrates iob input register timing . clock events  at time t ioiceck before clock event 1, the input clock enable signal becomes valid-high at the ice input of the input register, enabling the input register for incoming data.  at time t iopick before clock event 1, the input signal becomes valid-high at the i input of the input register and is reflected on the iq output of the input register at time t iockiq after clock event 1.  at time t iosrcki before clock event 4 the sr signal (configured as synchronous reset in this case) becomes valid-high resetting the input register and reflected at the iq output of the iob at time t iockiq after clock event 4. figure 1-19 illustrates iob ddr input register timing. figure 1-18: iob input register timing diagram 12345 clk i ice sr iq t iockiq t iockiq (reset) t iopick t ioiceck t iosrcki ug002_c3_005_112700 figure 1-19: iob ddr input register timing diagram 1234567891011 t iopick t ioiceck t iosrck t iockiq t iockiq t iockiq (reset) t iopick iclk1 iclk2 i ice sr iq1 iq2 t iockiq ug002_c3_006_112700
ug012 (v1.0) january 31, 2002 www.xilinx.com 145 virtex-ii pro platform fpga handbook 1-800-255-7778 iob timing model r clock events  at time t ioiceck before clock event 1 the input clock enable signal becomes valid- high at the ice input of both of the ddr input registers, enabling them for incoming data. since the ice and i signals are common to both ddr registers, care must be taken to toggle these signals between the rising edges of iclk1 and iclk2 as well as meeting the register setup-time relative to both clocks.  at time t iopick before clock event 1 (rising edge of iclk1) the input signal becomes valid-high at the i input of both registers and is reflected on the iq1 output of input- register 1 at time t iockiq after clock event 1.  at time t iopick before clock event 2 (rising edge of iclk2) the input signal becomes valid-low at the i input of both registers and is reflected on the iq2 output of input- register 2 at time t iockiq after clock event 2 (no change in this case).  at time t iosrcki before clock event 9 the sr signal (configured as synchronous reset in this case) becomes valid-high resetting input-register 1 (iq1) at time t iockiq after clock event 9, and input-register 2 (iq2) at time t iockiq after clock event 10. iob output timing model and parameters figure 1-20 illustrates iob outputs . timing parameters figure 1-20: virtex-ii pro iob output diagram ff latch sr rev d1 q1 ce ck1 ff latch sr rev d2 ff1 ff2 ddr mux q2 ce ck2 rev sr otclk1 oq pa d oce o1 otclk2 o2 attribute init1 init0 srhigh srlow attribute init1 init0 srhigh srlow reset type sync async ug002_c3_007_101300 shared by all registers 3-state control parameter function control signal description propagation delays t ioop propagation delay from the o input of the iob to the pad. t ioolp propagation delay from the o input of the iob to the pad via transparent latch.
146 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r figure 1-21 illustrates iob output register timing. clock events  at time t iooceck before clock event 1, the output clock enable signal becomes valid- high at the oce input of the output register, enabling the output register for incoming data.  at time t ioock before clock event 1, the output signal becomes valid-high at the o input of the output register and is reflected on the pad at time t iockp after clock event 1.  at time t iosrcko before clock event 4, the sr signal (configured as synchronous reset in this case) becomes valid-high, resetting the output register and reflected on setup and hold with respect to clock at iob output register t xxck = setup time (before clock edge) t xxckxx = hold time (after clock edge) the following descriptions are for setup times only. t ioock /t iocko o input time before the clock that data must be stable at the o input of the iob output register. t iooceck /t iockoce oce input time before the clock that the clock enable signal must be stable at the oce input of the iob output register. t iosrcko /t iockosr sr input (off) time before the clock that the set/reset signal must be stable at the sr input of the iob output register. clock to out t iockp clock (clk) to pad time after the clock that the output data is stable at the pad. set/reset delays t iosrp sr input to pad (asynchronous) time after the set/reset input of the iob is toggled that the pad reflects the set or reset. t iogsrq gsr to pad time after the global set/reset is toggled that the pad reflects the set or reset. parameter function control signal description figure 1-21: iob output register timing diagram 12345 clk o oce sr pa d (reset) t iockp t ioock t iooceck t iosrcko ug002_c3_008_112700
ug012 (v1.0) january 31, 2002 www.xilinx.com 147 virtex-ii pro platform fpga handbook 1-800-255-7778 iob timing model r the pad at time t iockp after clock event 4. figure 1-22 illustrates iob ddr output register timing. clock events  at time t iooceck before clock event 1, the output clock enable signal becomes valid- high at the oce input of both of the ddr output registers, enabling them for incoming data. since the oce signal is common to both ddr registers, care must be taken to toggle this signal between the rising edges of otclk1 and otclk2 as well as meeting the register setup-time relative to both clocks.  at time t ioock before clock event 1 (rising edge of otclk1), the output signal o1 becomes valid-high at the o1 input of output register 1 and is reflected on the pad at time t iockp after clock event 1.  at time t ioock before clock event 2 (rising edge of otclk2), the output signal o2 becomes valid-high at the o2 input of output register 2 and is reflected on the pad at time t iockp after clock event 2 (no change on the pad in this case).  at time t iosrcko before clock event 9, the sr signal (configured as synchronous reset in this case) becomes valid-high, resetting output-register 1 (reflected on the pad at time t iockp after clock event 9) (no change in this case) and output-register 2 (reflected on the pad at time t iockp after clock event 10) (no change in this case). figure 1-22: iob ddr output register timing diagram 1234567891011 t ioock t iooceck t ioock t iosrcko t iockp otclk1 otclk2 o1 o2 oce sr pa d t iockp ug002_c3_009_112700
148 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r iob 3-state timing model and parameters figure 1-23 illustrates iob 3-state timing timing parameters figure 1-23: virtex-ii pro iob 3-state diagram ff latch sr rev d1 q1 ce ck1 ff latch sr rev d2 ff1 ff2 ddr mux q2 ce ck2 rev sr otclk1 tq pa d tce t1 otclk2 t2 attribute init1 init0 srhigh srlow attribute init1 init0 srhigh srlow reset type sync async ug002_c3_010_120600 shared by all registers parameter function control signal description propagation delays t iothz time after t input of the iob is toggled that the pad goes to high-impedance. t ioton time after the t input of the iob is toggled that the pad goes from high-impedance to valid data. t iotlphz time after the t input of the iob via transparent latch is toggled that the pad goes to high- impedance. t iotlpon time after the t input of the iob via transparent latch is toggled that the pad goes from high-impedance to valid data. t gts time after the global 3-state signal is asserted that the pad goes to high-impedance. setup and hold with respect to clock at iob 3-state register t xxck = setup time (before clock edge) t xxckxx = hold time (after clock edge) the following descriptions are for setup times only. t iotck /t iockt t input time before the clock that the signal must be stable at the t input of the iob 3-state register.
ug012 (v1.0) january 31, 2002 www.xilinx.com 149 virtex-ii pro platform fpga handbook 1-800-255-7778 iob timing model r figure 1-24 illustrates iob 3-state register timing. clock events  at time t iotceck before clock event 1, the 3-state clock enable signal becomes valid- high at the tce input of the 3-state register, enabling the 3-state register for incoming data.  at time t iotck before clock event 1 the 3-state signal becomes valid-high at the t input of the 3-state register, returning the pad to high-impedance at time t iockhz after clock event 1.  at time t iosrckt before clock event 2, the sr signal (configured as synchronous reset in this case) becomes valid-high, resetting the 3-state register and returning the pad to valid data at time t iosron after clock event 2. t iotceck /t iocktce tce input time before the clock that the clock enable signal must be stable at the tce input of the iob 3-state register. t iosrckt /t iocktsr sr input (tff) time before the clock that the set/reset signal. clock to out t iockhz clock (clk) to pad high-z time after clock that the pad goes to high- impedance. t iockon clock (clk) to valid data on pad time after clock that the pad goes from high- impedance to valid data. set/reset delays t iosrhz sr input to pad high-z (asynchronous) time after the sr signal is toggled that the pad goes to high-impedance. t iosron sr input to valid data on pad (asynchronous) time after the sr signal is toggled that the pad goes from high-impedance to valid data. parameter function control signal description figure 1-24: iob 3-state register timing diagram 12345 clk t tce sr pa d t iockhz t iockon t iotck t iotceck t iosrckt ug002_c3_011_101300 data data data zz
150 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r figure 1-25 illustrates iob ddr 3-state register timing. clock events  at time t iotceck before clock event 1, the 3-state clock enable signal becomes valid- high at the tce input of both of the ddr 3-state registers, enabling them for incoming data. since the tce signal is common to both ddr registers, care must be taken to toggle this signal between the rising edges of otclk1 and otclk2 as well as meeting the register setup-time relative to both clocks.  at time t iotck before clock event 2 (rising edge of otclk2), the 3-state signal t2 becomes valid-high at the t2 input of 3-state register 2, switching the pad to high- impedance at time t iockhz after clock event 2.  at time t iotck before clock event 3 (rising edge of otclk1), the 3-state signal t1 becomes valid-high at the t1 input of 3-state register 1, keeping the pad at high- impedance for another half clock cycle (half the period of otclk1 or 2).  at time t iotck before clock event 4 (rising edge of otclk2), the 3-state signal t2 becomes valid-low at the t2 input of 3-state register 2, switching the pad to valid data at time t iockon after clock event 4. this is repeated for 3-state signal t1 at the following clock event (5) maintaining valid data on the pad until clock event 8.  at time t iotck before clock event 8 (rising edge of otclk2), the 3-state signal t2 becomes valid-high at the t2 input of 3-state register 2, switching the pad to high- impedance at time t iockhz after clock event 8.  at time t iosrckt before clock event 9 (rising edge of otclk1), the sr signal (configured as synchronous reset in this case) becomes valid-high at the sr input of 3-state register 1, returning the pad to valid data at time t iosron after clock event 9. figure 1-25: iob ddr 3-state register timing diagram 1234567891011 t iotck t iotceck t iotck t iosrckt t iockon t iosron otclk1 otclk2 t1 t2 tce sr pa d data z data z z data t iockhz ug002_c3_012_101300
ug012 (v1.0) january 31, 2002 www.xilinx.com 151 virtex-ii pro platform fpga handbook 1-800-255-7778 pin-to-pin timing model r pin-to-pin timing model introduction this section explains the delays and timing parameters associated with the use of the global clock network and the dcm. these delays are true pin-to-pin delays relative to the global clock pin and an output or input pin with or without the dcm. this section consists of two parts:  global clock input to output  global clock setup and hold the former describes the delay from the global clock pin (with and without the dcm) to an output pin via an output flip-flop. the latter describes the set-up time for an input flip- flop from an input pin relative to the global clock pin (with and without the dcm). the values reported in the switching characteristics section of the virtex-ii pro data sheet are for lvttl i/o standards. for different i/o standards, adjust these values with those shown in the "iob switching characteristics standard adjustments" tables. this section is intended to be used in conjunction with the section on switching characteristics in the virtex-ii pro data sheet and the timing analyzer (trce) report from xilinx software. for specific timing parameter values, refer to the virtex-ii pro data sheet . global clock input to output figure 1-26 illustrates the paths associated with the timing parameters defined in this section. note that they differ only in their use of the dcm. figure 1-26: global clock input to output model q data iob output register iob output register data dcm d output pin output pin global clock pin global clock pin bufgmux bufgmux dq ug002_c3_013_101300
152 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r timing parameters the waveforms depicted in figure 1-27 demonstrate the relation of the global clock pin, the output data, and the use of the timing parameters. parameter description t ickofdll time after the global clock (pin), using the dcm, that the output data from an iob output flip-flop is stable at the output pin. t ickof time after the global clock (pin), without the dcm, that the output data from an iob output flip-flop is stable at the output pin. figure 1-27: global clock input to output timing diagram global clock pin (no dcm) d-input of iob output register output pin t ickof global clock pin (with dcm) d-input of iob output register output pin t ickofdll ug002_c3_015_101300
ug012 (v1.0) january 31, 2002 www.xilinx.com 153 virtex-ii pro platform fpga handbook 1-800-255-7778 pin-to-pin timing model r global clock setup and hold figure 1-28 illustrates the paths associated with the timing parameters defined in this section. note, they differ only in their use of the dcm. timing parameters setup and hold for input registers relative to the global clock (pin):  t psdll / t phdll - time before the global clock (pin), with dcm, that the input signal must be stable at the d-input of the iob input register.  t psfd / t phfd - time before the global clock (pin), without dcm, that the input signal must be stable at the d-input of the iob input register. note: t psfd = setup time (before clock edge) and t phfd = hold time (after clock edge). the previous descriptions are for setup times only. figure 1-28: global clock setup and hold model d iob iob dcm q input pin input pin global clock pin global clock pin bufgmux bufgmux qd ug002_c3_014_101300
154 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r the waveforms depicted in figure 1-29 demonstrate the relation of the global clock pin, the input data, and the use of the timing parameters. digital clock manager timing model this section describes the timing parameters associated with the digital clock manager (dcm), which are reported in the virtex-ii pro data sheet . note that these parameters are not used by the timing analyzer software in the production of timing reports; they are all measured values and are fully characterized in silicon. for specific timing parameter values, refer to the virtex-ii pro data sheet . this section discusses the following:  operating frequency ranges: the minimum and maximum frequencies supported by the dcm for all clock inputs and outputs.  input clock tolerances: input clock period (pulse widths), jitter, and drift requirements for proper function of the dcm for all clock inputs.  output clock precision: output clock period jitter, phase offsets, and duty cycle for all clock outputs of the dcm (worst case).  miscellaneous timing parameters: dcm lock times, tap delay and shifting range. for a detailed description of input clock tolerance, jitter, and phase offset see the waveforms at the end of this section. figure 1-29: global clock setup and hold timing diagram global clock pin (no dcm) input pin t psfd t phfd ug002_c3_016_101300 global clock pin (with dcm) input pin t psdll t phdll
ug012 (v1.0) january 31, 2002 www.xilinx.com 155 virtex-ii pro platform fpga handbook 1-800-255-7778 digital clock manager timing model r operating frequency ranges figure 1-30 illustrates the dcm functional block and corresponding timing parameters for all clock inputs and outputs. timing parameters figure 1-30: dcm functional block: operating frequency ranges clkin (clkin_freq_(dll & fx)_(lf & hf)) locked clkfb status clk180 - clkout_freq_1x_(lf & hf) clk270 - clkout_freq_1x_lf clk0 - clkout_freq_1x_(lf & hf) clk90 - clkout_freq_1x_lf clk2x - clkout_freq_2x_lf clk2x180 - clkout_freq_2x_lf clkdv - clkout_freq_dv_(lf & hf) clkfx - clkout_freq_fx_(lf & hf) clkfx180 - clkout_freq_fx_(lf & hf) psdone rst psincdec psen psclk_freq_(lf & hf) dssen dcm ug002_c1_004_112800 parameter description low frequency mode clkout_freq_1x_lf the minimum and maximum frequency for the clk0, clk90, clk180, clk270 outputs of the dcm in low- frequency mode. clkout_freq_2x_lf the minimum and maximum frequency for the clk2x and clk2x180 outputs of the dcm in low-frequency mode. clkout_freq_dv_lf the minimum and maximum frequency for the clkdv output of the dcm in low-frequency mode. clkout_freq_fx_lf the minimum and maximum frequency for the clkfx and clkfx180 outputs of the dcm in low-frequency mode. clkin_freq_dll_lf 1 the minimum and maximum frequency for the clkin input to the dcm in low-frequency mode when using the delay-locked loop (dll) outputs. clkin_freq_fx_lf 2 the minimum and maximum frequency for the clkin input to the dcm in low-frequency mode when using the fx outputs. psclk_freq_lf the minimum and maximum frequency for the psclk input to the dcm in low-frequency mode.
156 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r input clock tolerances timing parameters high frequency mode clkout_freq_1x_hf the minimum and maximum frequency for the clk0, clk180 outputs of the dcm in high-frequency mode. clkout_freq_dv_hf the minimum and maximum frequency for the clkdv output of the dcm in high-frequency mode. clkout_freq_fx_hf the minimum and maximum frequency for the clkfx and clkfx180 outputs of the dcm in high-frequency mode. clkin_freq_dll_hf the minimum and maximum frequency for the clkin input to the dcm in high-frequency mode when using the dll outputs. clkin_freq_fx_hf the minimum and maximum frequency for the clkin input to the dcm in high-frequency mode when using the fx outputs. psclk_freq_hf the minimum and maximum frequency for the psclk input to the dcm in high-frequency mode. notes: 1. delay-locked loop ( dll) outputs include: clk0, clk90, clk180, clk270, clk2x, clk2x180, and clkdv. 2. fx outputs include: clkfx and clkfx180 parameter description parameter description psclk_pulse 1 the minimum pulse width (high and low) that the psclk input to the dcm can have over a range of frequencies. clkin_pulse the minimum pulse width (high and low) that the clkin input to the dcm can have over a range of frequencies. also applies to psclk. clkfb_delay_var_ext the maximum allowed variation in delay (across environmental changes) of the feedback clock path when routed externally for board-level de-skew. low frequency mode clkin_cyc_jitt_dll_lf the maximum cycle-to-cycle jitter the clkin input to the dcm can have when using the dll outputs in low-frequency mode. clkin_cyc_jitt_fx_lf the maximum cycle-to-cycle jitter the clkin input to the dcm can have when using the fx outputs in low- frequency mode. clkin_per_jitt_dll_lf the maximum period jitter the clkin input to the dcm can have when using the dll outputs in low- frequency mode.
ug012 (v1.0) january 31, 2002 www.xilinx.com 157 virtex-ii pro platform fpga handbook 1-800-255-7778 digital clock manager timing model r output clock precision timing parameters clkin_per_jitt_fx_lf the maximum period jitter the clkin input to the dcm can have when using the fx outputs in low- frequency mode. high frequency mode clkin_cyc_jitt_dll_hf the maximum cycle-to-cycle jitter the clkin input to the dcm can have when using the dll outputs in high-frequency mode. clkin_cyc_jitt_fx_hf the maximum cycle-to-cycle jitter the clkin input to the dcm can have when using the fx outputs in high- frequency mode. clkin_per_jitt_dll_hf the maximum period jitter the clkin input to the dcm can have when using the dll outputs in high- frequency mode. clkin_per_jitt_fx_hf the maximum period jitter the clkin input to the dcm can have when using the fx outputs in high- frequency mode. notes: 1. the frequencies applicable to clkin_pulse range from 1 to >400 mhz. these frequencies also apply to psclk_pulse. since psclk can be less than 1 mhz, the pulse width under this condition is specified for psclk only. parameter description parameter description clkout_per_jitt_0 the maximum period jitter of the clk0 output clock from the dcm (worst case). clkout_per_jitt_90 the maximum period jitter of the clk90 output clock from the dcm (worst case). clkout_per_jitt_180 the maximum period jitter of the clk180 output clock from the dcm (worst case). clkout_per_jitt_270 the maximum period jitter of the clk270 output clock from the dcm (worst case). clkout_per_jitt_2x the maximum period jitter of the clk2x and clk2x180 output clocks from the dcm (worst case). clkout_per_jitt_dv1 the maximum period jitter of the clkdv (integer division) output clock from the dcm (worst case). clkout_per_jitt_dv2 the maximum period jitter of the clkdv (non-integer division) output clock from the dcm (worst case). clkout_per_jitt_fx the maximum period jitter of the fx output clocks from the dcm (worst case).
158 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r miscellaneous dcm timing parameters the waveforms in figure 1-31 demonstrate the relationship between clock tolerance, jitter, and phase. output jitter is period jitter measured on the dll output clocks, excluding input clock jitter. phase offset between clkin and clkfb is the worst-case fixed time difference between rising edges of clkin and clkfb, excluding output jitter and input clock jitter. clkin_clkfb_phase maximum phase offset between the clkin and clkfb inputs to the dcm. clkout_phase maximum phase offset between any dcm clock outputs. clkout_duty_cycle_dll the duty-cycle precision for all dll outputs. clkout_duty_cycle_fx the duty-cycle precision for the fx outputs. parameter description table 1-13: miscellaneous dcm timing parameters parameter description lock_dll time required for dcm to lock over a range of clock frequencies when using the dll outputs. lock_fx time required for dcm to lock when using the fx outputs. lock_dll_fine_shift additional lock time when performing fine phase shifting. fine_shift_range absolute range for fine phase shifting. dcm_tap resolution of delay line. figure 1-31: dcm jitter, phase, and tolerance timing waveforms t clkin period tolerance: the allowed input clock period change in nanoseconds. output jitter: the difference between an ideal reference clock edge and the actual design. ds022_24_112800 ideal period actual period + jitter +/- jitter + maximum phase difference phase offset and maximum phase difference + phase offset t clkin + _ input clock jitter
ug012 (v1.0) january 31, 2002 www.xilinx.com 159 virtex-ii pro platform fpga handbook 1-800-255-7778 digital clock manager timing model r phase offset between clock outputs on the dll is the worst-case fixed time difference between rising edges of any two dll outputs, excluding output jitter and input clock jitter. maximum phase difference between clkin an clkfb is the sum of output jitter and phase offset between clkin and clkfb, or the greatest difference between clkin and clkfb rising edges due to dll alone (excluding input clock jitter). maximum phase difference between clock outputs on the dll is the sum of output jitter and phase offset between any dll clock outputs, or the greatest difference between any two dll output rising edges due to dll alone (excluding input clock jitter).
160 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 1: timing models r
ug012 (v1.0) january 31, 2002 www.xilinx.com 161 virtex-ii pro platform fpga handbook 1-800-255-7778 r chapter 2 design considerations summary this chapter covers the following topics:  rocket i/o transceiver  processor block  global clock networks  digital clock managers (dcms)  block selectram ? memory  distributed selectram memory  look-up tables as shift registers (srluts)  large multiplexers  sum of products (sop) logic  embedded multipliers  single-ended selecti/o resources  digitally controlled impedance (dci)  double-data-rate (ddr) i/o  lvds i/o  bitstream encryption  platform generator  core generator system introduction this chapter describes how to take advantage of the many special features of the virtex-ii pro architecture to achieve maximum density and performance. in many cases, the functions described can be automatically generated using the xilinx core generator ? tool. this is noted throughout the chapter, in the following sections specifically:  block selectram ? memory  distributed selectram memory  look-up tables as shift registers (srluts)  large multiplexers  embedded multipliers
162 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r rocket i/o transceiver introduction virtex-ii pro devices provide up to sixteen multi-gigabit transceivers capable of various high-speed serial standards such as gigabit ethernet, fiberchannel, infiniband, and xaui. in addition, the channel-bonding feature aggregates multiple channels allowing for even higher data transfer rate. the following sections summarize the rocket i/o primitive ports and attributes, and give a simple usage example. for more information on rocket i/o features, design examples, power considerations, and board layout suggestions, refer to the rocket i/o user guide or www.xilinx.com/virtex2pro . list of available ports the rocket i/o transceiver primitives contain 50 ports, with the exception of the 46-port gt_ethernet and gt_fibre_chan primitives. the differential serial data ports (rxn, rxp, txn, and txp) are connected directly to external pads; the remaining 46 ports are all accessible from the fpga logic (42 ports for gt_ethernet and gt_fibre_chan). ta ble 2 -1 contains the port descriptions of all primitives. table 2-1: gt_custom (1) , gt_aurora, gt_fibre_chan (2) , gt_ethernet (2) , gt_infiniband, and gt_xaui primitive ports port i/o port size (3) definition chbonddone o 1 indicates a receiver has successfully completed channel bonding when asserted high. chbondi i 4 the channel bonding control that is used only by "slaves" which is driven by a transceiver ? s chbondo port. chbondo o 4 channel bonding control that passes channel bonding and clock correction control to other transceivers. configenable i 1 reconfiguration enable input (unused) configin i 1 data input for reconfiguring transceiver (unused) configout o 1 data output for configuration readback (unused) enchansync i 1 comes from the core to the transceiver and enables the transceiver to perform channel bonding enmcommaalign i 1 selects realignment of incoming serial bitstream on minus-comma. high realigns serial bitstream byte boundary when minus-comma is detected. enpcommaalign i 1 selects realignment of incoming serial bitstream on plus-comma. high realigns serial bitstream byte boundary when plus-comma is detected. loopback i 2 selects the two loopback test modes. bit 1 is for serial loopback and bit 0 is for internal parallel loopback. powerdown i 1 shuts down both the receiver and transmitter sides of the transceiver when asserted high. this decreases the power consumption while the transceiver is shut down.
ug012 (v1.0) january 31, 2002 www.xilinx.com 163 virtex-ii pro platform fpga handbook 1-800-255-7778 rocket i/o transceiver r refclk i 1 high-quality reference clock driving transmission (reading tx fifo, and multiplied for parallel/serial conversion) and clock recovery. refclk frequency is accurate to 100 ppm. this clock originates off the device, is routed through fabric interconnect, and is selected by the refclksel. refclk2 i 1 an alternative to refclk. can be selected by the refclksel. refclksel i 1 selects the reference clock to use refclk or refclk2. deasserted is refclk. asserted is refclk2. rxbufstatus o 2 receiver elastic buffer status. bit 1 indicates if an overflow/underflow error has occurred when asserted high. bit 0 indicates if the buffer is at least half-full when asserted high. rxchariscomma o 1, 2, 4 similar to rxcharisk except that the data is a comma. rxcharisk o 1, 2, 4 if 8b/10b decoding is enabled, it indicates that the received data is a "k" character when asserted high. included in byte-mapping. if 8b/10b encoding bypassed, it becomes the 10th bit of the 10-bit encoded data. rxcheckingcrc o 1 crc status for the receiver. asserts high to indicate that the receiver has recognized the end of a data packet. only meaningful if rx_crc_use = true. rxclkcorcnt o 3 status that denotes occurrence of clock correction or channel bonding. this status is synchronized on the incoming rxdata. see rocket i/o user guide . rxcommadet o 1 signals that a comma has been detected in the data stream. rxcrcerr o 1 indicates if the crc code is incorrect when asserted high. only meaningful if rx_crc_use = true. rxdata o 8,16,32 up to four bytes of decoded (8b/10b encoding) or encoded (8b/10b bypassed) receive data. rxdisperr o 1, 2, 4 if 8b/10b encoding is enabled it indicates whether a disparity error has occurred on the serial line. included in byte-mapping scheme. table 2-1: gt_custom (1) , gt_aurora, gt_fibre_chan (2) , gt_ethernet (2) , gt_infiniband, and gt_xaui primitive ports (continued) port i/o port size (3) definition
164 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r rxlossofsync o 2 status related to byte-stream synchronization (rx_loss_of_sync_fsm) if rx_loss_of_sync_fsm = true, this outputs the state of the fsm. bit 1 signals a loss of sync. bit 0 indicates a resync state. if rx_loss_of_sync_fsm = false, this indicates if received data is invalid (bit 1) and if the channel bonding sequence is recognized (bit 0). rxn (4) i 1 serial differential port (fpga external) rxnotintable o 1, 2, 4 status of encoded data when the data is not a valid character when asserted high. applies to the byte- mapping scheme. rxp (4) i 1 serial differential port (fpga external) rxpolarity i 1 similar to txpolarity, but for rxn and rxp. when deasserted, assumes regular polarity. when asserted, reverses polarity. rxrealign o 1 signal from the pma denoting that the byte alignment with the serial data stream changed due to a comma detection. asserted high when alignment occurs. rxrecclk o 1 recovered clock that is divided by 20. rxreset i 1 synchronous rx system reset that "recenters" the receive elastic buffer. it also resets 8b/10b decoder, comma detect, channel bonding, clock correction logic, and other internal receive registers. it does not reset the receiver pll. rxrundisp o 1, 2, 4 signals the running disparity (negative/positive) in the received serial data. if 8b/10b encoding bypassed, it becomes the 9th bit of the 10-bit encoded data. rxusrclk i 1 clock from a dcm that is used for reading the rx elastic buffer. it also clocks chbondi and chbondo in and out of the transceiver. typically, the same as txusrclk. rxusrclk2 i 1 clock output from a dcm that clocks the receiver data and status between the transceiver and the fpga core. typically the same as txusrclk2. the relationship between rxusrclk and rxusrclk2 depends on the width of the rxdata. txbuferr o 1 provides status of the transmission fifo. if asserted high, an overflow/underflow has occurred. when this bit becomes set, it can only be reset by asserting txreset. table 2-1: gt_custom (1) , gt_aurora, gt_fibre_chan (2) , gt_ethernet (2) , gt_infiniband, and gt_xaui primitive ports (continued) port i/o port size (3) definition
ug012 (v1.0) january 31, 2002 www.xilinx.com 165 virtex-ii pro platform fpga handbook 1-800-255-7778 rocket i/o transceiver r txbypass8b10b i 1, 2, 4 this control signal determines whether the 8b/10b encoding is enabled or bypassed. if the signal is asserted high, the encoding is bypassed. this creates a 10-bit interface to the fpga core. see the 8b/10b section for more details. txchardispmode i 1, 2, 4 if 8b/10b encoding is enabled, this bus determines what mode of disparity is to be sent. when 8b/10b is bypassed, this becomes the 10th bit of the 10-bit encoded txdata bus for each byte specified by the byte-mapping section. txchardispval i 1, 2, 4 if 8b/10b encoding is enabled, this bus determines what type of disparity is to be sent. when 8b/10b is bypassed, this becomes the 9th bit of the 10-bit encoded txdata bus for each byte specified by the byte-mapping section. txcharisk i 1, 2, 4 if 8b/10b encoding is enabled, this control bus determines if the transmitted data is a "k" character or a data character. a logic high indicating a k character. txdata i 8,16,32 transmit data that can be 1, 2, or 4 bytes wide, depending on the primitive used. txdata [7:0] is always the last byte transmitted. the position of the first byte depends on selected tx data path width. txforcecrcerr i 1 specifies whether to insert error in computed crc. when txforcecrcerr = true, the transmitter corrupts the correctly computed crc value by xoring with the bits specified in attribute tx_crc_force_value. this input can be used to test detection of crc errors at the receiver. txinhibit i 1 if a logic high, the tx differential pairs are forced to be a constant 1/0. txn = 1, txp = 0 txkerr o 1, 2, 4 if 8b/10b encoding is enabled, this signal indicates (asserted high) when the "k" character to be transmitted is not a valid "k" character. bits correspond to the byte-mapping scheme. txn (4) o 1 transmit differential port (fpga external) txp (4) o 1 transmit differential port (fpga external) txpolarity i 1 specifies whether or not to invert the final transmitter output. able to reverse the polarity on the txn and txp lines. deasserted sets regular polarity. asserted reverses polarity. txreset i 1 synchronous tx system reset that ? recenters ? the transmit elastic buffer. it also resets 8b/10b encoder and other internal transmission registers. it does not reset the transmission pll. table 2-1: gt_custom (1) , gt_aurora, gt_fibre_chan (2) , gt_ethernet (2) , gt_infiniband, and gt_xaui primitive ports (continued) port i/o port size (3) definition
166 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r primitive attributes the primitives also contain attributes set by default to specific values controlling each specific primitive ? s protocol parameters. included are channel-bonding settings (for primitives supporting channel bonding), clock correction sequences, and crc. ta ble 2-2 shows a brief description of each attribute. ta ble 2-3 and ta ble 2-4 have the default values of each primitive. txrundisp o 1, 2, 4 signals the running disparity after this byte is encoded. zero equals negative disparity and positive disparity for a one. txusrclk i 1 clock output from a dcm that is clocked with the refclk (or other reference clock). this clock is used for writing the tx buffer and is frequency- locked to the refclk. txusrclk2 i 1 clock output from a dcm that clocks transmission data and status and reconfiguration data between the transceiver an the fpga core. the ratio between the txusrclk and txusrclk2 depends on the width of the txdata. notes: 1. the gt_custom ports are always the maximum port size. 2. gt_fibre_chan and gt_ethernet ports do not have the three chbond** or enchansync ports. 3. the port sizes change with relation to the primitive selected and also correlate to the byte mapping. 4. external ports only accessible from package pins. table 2-1: gt_custom (1) , gt_aurora, gt_fibre_chan (2) , gt_ethernet (2) , gt_infiniband, and gt_xaui primitive ports (continued) port i/o port size (3) definition table 2-2: rocket i/o transceiver attributes attribute description align_comma_msb true/false controls the alignment of detected commas within the transceivers 2-byte wide data path. false: align commas within a 10-bit alignment range. as a result the comma is aligned to either rxdata[15:8} byte or rxdata [7:0] byte in the transceivers internal data path. tr u e : aligns comma with 20-bit alignment range. as a result aligns on the rxdata[15:8] byte. note: if protocols (like gigabit ethernet) are oriented in byte pairs with commas always in even (first) byte formation, this can be set to true. oth- erwise, it should be set to false. chan_bond_limit integer 1-31 that defines maximum number of bytes a slave receiver can read following a channel bonding sequence and still successfully align to that sequence.
ug012 (v1.0) january 31, 2002 www.xilinx.com 167 virtex-ii pro platform fpga handbook 1-800-255-7778 rocket i/o transceiver r chan_bond_mode string off, master, slave_1_hop, slave_2_hops off: no channel bonding involving this transceiver. master: this transceiver is master for channel bonding. its chbondo port directly drives chbondi ports on one or more slave_1_hop transceivers. slave_1_hop: this transceiver is a slave for channel bonding. slave_1_hop ? s chbondi is directly driven by a master transceiver chbondo port. slave_1_hop ? s chbondo port can directly drive chbondi ports on one or more slave_2_hops transceivers. slave_2_hops: this transceiver is a slave for channel bonding. slave_2_hops chbondi is directly driven by a slave_1_hop ch- bondo port. chan_bond_offset integer 0-15 that defines offset (in bytes) from channel bonding sequence for realignment. it specifies the first elastic buffer read address that all channel- bonded transceivers have immediately after channel bonding. chan_bond_wait specifies the number of bytes that the master transceiver passes to rxdata, starting with the channel bonding sequence, before the transceiver executes channel bonding (alignment) across all channel-bonded transceivers. chan_bond_offset specifies the first elastic buffer read address that all channel-bonded transceivers have immediately after channel bonding (alignment), as a positive offset from the beginning of the matched channel bonding sequence in each transceiver. for optimal performance of the elastic buffer, chan_bond_wait and chan_bond_offset should be set to the same value (typically 8). chan_bond_one_shot true/false that controls repeated execution of channel bonding. false: master transceiver initiates channel bonding whenever possible (whenever channel-bonding sequence is detected in the input) as long as input enchansync is high and rxreset is low. tr u e : master transceiver initiates channel bonding only the first time it is possible (channel bonding sequence is detected in input) following negat- ed rxreset and asserted enchansync. after channel-bonding align- ment is done, it does not occur again until rxreset is asserted and negated, or until enchansync is negated and reasserted. slave transceivers should always have chan_bond_one_shot set to false. chan_bond_seq_*_* 11-bit vectors that define the channel bonding sequence. the usage of these vectors also depends on chan_bond_seq_len and chan_bond_seq_2_use. see rocket i/o user guide for format. chan_bond_seq_2_use controls use of second channel bonding sequence. false: channel bonding uses only one channel bonding sequence defined by chan_bond_seq_1_1..4. tr u e : channel bonding uses two channel bonding sequences defined by: chan_bond_seq_1_1..4 and chan_bond_seq_2_1..4 as further constrained by chan_bond_seq_len. table 2-2: rocket i/o transceiver attributes (continued) attribute description
168 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r chan_bond_seq_len integer 1-4 defines length in bytes of channel bonding sequence. this defines the length of the sequence the transceiver matches to detect opportunities for channel bonding. chan_bond_wait integer 1-15 that defines the length of wait (in bytes) after seeing channel bonding sequence before executing channel bonding. clk_cor_insert_idle_flag true/false controls whether rxrundisp input status denotes running disparity or inserted-idle flag. false: rxrundisp denotes running disparity when rxdata is decoded data. tr u e : rxrundisp is raised for the first byte of each inserted (repeated) clock correction ("idle") sequence (when rxdata is decoded data). clk_cor_keep_idle true/false controls whether or not the final byte stream must retain at least one clock correction sequence. false: transceiver can remove all clock correction sequences to further re- center the elastic buffer during clock correction. tr u e : in the final rxdata stream, the transceiver must leave at least one clock correction sequence per continuous stream of clock correction sequences. clk_cor_repeat_wait integer 0 - 31 controls frequency of repetition of clock correction operations. this attribute specifies the minimum number of rxusrclk cycles without clock correction that must occur between successive clock corrections. if this attribute is zero, no limit is placed on how frequently clock correction can occur. clk_cor_seq_*_* 11-bit vectors that define the sequence for clock correction. the attribute used depends on the clk_cor_seq_len and clk_cor_seq_2_use. clk_cor_seq_2_use true/false control use of second clock correction sequence. false: clock correction uses only one clock correction sequence defined by clk_cor_seq_1_1..4. tr u e : clock correction uses two clock correction sequences defined by: clk_cor_seq_1_1..4 and clk_cor_seq_2_1..4 as further constrained by clk_cor_seq_len. clk_cor_seq_len integer that defines the length of the sequence the transceiver matches to detect opportunities for clock correction. it also defines the size of the correction, since the transceiver executes clock correction by repeating or skipping entire clock correction sequences. clk_correct_use true/false controls the use of clock correction logic. false: permanently disable execution of clock correction (rate matching). clock rxusrclk must be frequency-locked with rxrecclk in this case. tr u e : enable clock correction (normal mode). comma_10b_mask this 10-bit vector defines the mask that is anded with the incoming serial-bit stream before comparison against pcomma_10b_value and mcomma_10b_value. table 2-2: rocket i/o transceiver attributes (continued) attribute description
ug012 (v1.0) january 31, 2002 www.xilinx.com 169 virtex-ii pro platform fpga handbook 1-800-255-7778 rocket i/o transceiver r crc_end_of_pkt k28_0, k28_1, k28_2, k28_3, k28_4, k28_5, k28_6, k28_7, k23_7, k27_7, k29_7, k30_7 end-of-packet (eop) k-character for user_mode crc. must be one of the 12 legal k-character values. crc_format ethernet, infiniband, fibre_chan, user_mode crc algorithm selection. modifiable only for gt_aurora_n, gt_xaui_n, and gt_custom. user_mode allows user definition of start-of-packet and end-of-packet k-characters. crc_start_of_pkt k28_0, k28_1, k28_2, k28_3, k28_4, k28_5, k28_6, k28_7, k23_7, k27_7, k29_7, k30_7 start-of-packet (sop) k-character for user_mode crc. must be one of the 12 legal k-character values. dec_mcomma_detect true/false controls the raising of per-byte flag rxchariscomma on minus-comma. dec_pcomma_detect true/false controls the raising of per-byte flag rxchariscomma on plus-comma. dec_valid_comma_only true/false controls the raising of rxchariscomma on an invalid comma. false: raise rxchariscomma on: 0011111xxx (if dec_pcomma_detect is true) and/or on: 1100000xxx (if dec_mcomma_detect is true) regardless of the settings of the xxx bits. tr u e : raise rxchariscomma only on valid characters that are in the 8b/10b translation. mcomma_10b_value this 10-bit vector defines minus-comma for the purpose of raising rxcommadet and realigning the serial bit stream byte boundary. this definition does not affect 8b/10b encoding or decoding. also see comma_10b_mask. mcomma_detect true/false indicates whether to raise or not raise the rxcommadet when minus-comma is detected. pcomma_10b_value this 10-bit vector defines plus-comma for the purpose of raising rxcommadet and realigning the serial bit stream byte boundary. this definition does not affect 8b/10b encoding or decoding. also see comma_10b_mask. pcomma_detect true/false indicates whether to raise or not raise the rxcommadet when plus-comma is detected. rx_buffer_use always set to true. rx_crc_use, tx_crc_use true/false determines if crc is used or not. rx_data_width, tx_data_width integer (1, 2, or 4). relates to the data width of the fpga fabric interface. rx_decode_use this determines if the 8b/10b decoding is bypassed. false denotes that it is bypassed. table 2-2: rocket i/o transceiver attributes (continued) attribute description
170 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r rx_los_invalid_incr power of two in a range of 1 to 128 that denotes the number of valid characters required to "cancel out" appearance of one invalid character for loss of sync determination. rx_los_threshold power of two in a range of 4 to 512. when divided by rx_los_invalid_incr, denotes the number of invalid characters required to cause fsm transition to "sync lost" state. rx_loss_of_sync_fsm true/false denotes the nature of rxlossofsync output. tr u e : rxlossofsync outputs the state of the fsm bit. see rxlossofsync , page 164 , for details. serdes_10b denotes whether the reference clock runs at 1/20 or 1/10 the serial bit rate. true denotes 1/10 and false denotes 1/20. false supports a serial bitstream range of 800 mb/s to 3.125 gb/s. true supports a range of 500 mb/s to 1.0 gb/s. termination_imp integer (50 or 75). termination impedance of either 50 ? or 75 ? . refers to both the rx and tx. tx_buffer_use always set to true. tx_crc_force_value 8-bit vector. value to corrupt tx crc computation when input txforcecrcerr is high. this value is xored with the correctly computed crc value, corrupting the crc if tx_crc_force_value is nonzero. this can be used to test crc error detection in the receiver downstream. tx_diff_ctrl this is an integer value either 400 mv, 500 mv, 600 mv, 700 mv, or 800 mv. it determines the amount of voltage difference between the differential lines. twice the value is the peak-peak value. tx_preemphasis this is an integer value 0-3 that sets the output driver pre-emphasis to improve output waveform shaping for various load conditions. larger value denotes stronger pre-emphasis. see pre-emphasis values in ta ble 4 -2 , page 67 . table 2-2: rocket i/o transceiver attributes (continued) attribute description
ug012 (v1.0) january 31, 2002 www.xilinx.com 171 virtex-ii pro platform fpga handbook 1-800-255-7778 rocket i/o transceiver r modifiable primitives as shown in table 2-3 and ta ble 2 -4 , only certain attributes are modifiable for any primitive. these attributes help to define the protocol used by the primitive. only the gt_custom primitive allows the user to modify all of the attributes to a protocol not supported by another transceiver primitive. this allows for complete flexibility. the other primitives allow modification of the analog attributes of the serial data lines and several channel-bonding values. table 2-3: default attribute values for gt_aurora, gt_custom, gt_ethernet attribute default gt_aurora default gt_custom (1) default gt_ethernet align_comma_msb false false false chan_bond_limit 16 16 1 chan_bond_mode off (2) off off chan_bond_offset 8 8 0 chan_bond_one_shot falso (2) false true chan_bond_seq_1_1 00101111100 00000000000 00000000000 chan_bond_seq_1_2 00000000000 00000000000 00000000000 chan_bond_seq_1_3 00000000000 00000000000 00000000000 chan_bond_seq_1_4 00000000000 00000000000 00000000000 chan_bond_seq_2_1 00000000000 00000000000 00000000000 chan_bond_seq_2_2 00000000000 00000000000 00000000000 chan_bond_seq_2_3 00000000000 00000000000 00000000000 chan_bond_seq_2_4 00000000000 00000000000 00000000000 chan_bond_seq_2_use false false false chan_bond_seq_len 1 1 1 chan_bond_wait 8 8 7 clk_cor_insert_idle_flag false (2) false false (2) clk_cor_keep_idle false (2) false false (2) clk_cor_repeat_wait 1 (2) 11 (2) clk_cor_seq_1_1 00100011100 00000000000 00110111100 clk_cor_seq_1_2 00100011100 (4) 00000000000 00001010000 clk_cor_seq_1_3 00100011100 (5) 00000000000 00000000000 clk_cor_seq_1_4 00100011100 (5) 00000000000 00000000000 clk_cor_seq_2_1 00000000000 00000000000 00000000000 clk_cor_seq_2_2 00000000000 00000000000 00000000000 clk_cor_seq_2_3 00000000000 00000000000 00000000000 clk_cor_seq_2_4 00000000000 00000000000 00000000000
172 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r clk_cor_seq_2_use false false false clk_cor_seq_len n (3) 12 clk_correct_use true true true comma_10b_mask 1111111111 1111111000 1111111000 crc_end_of_pkt k29_7 k29_7 k29_7 crc_format user_mode user_mode ethernet crc_start_of_pkt k27_7 k27_7 k27_7 dec_mcomma_detect true true true dec_pcomma_detect true true true dec_valid_comma_only true true true mcomma_10b_value 1100000101 1100000000 1100000000 mcomma_detect true true true pcomma_10b_value 0011111010 0011111000 0011111000 pcomma_detect true true true rx_buffer_use true true true rx_crc_use false (2) false false (2) rx_data_width n (3) 2n (3) rx_decode_use true true true rx_los_invalid_incr 1 (2) 11 (2) rx_los_threshold 4 (2) 44 (2) rx_loss_of_sync_fsm true (2) tru e true (2) serdes_10b false (2) false false (2) termination_imp 50 (2) 50 50 (2) tx_buffer_use true true true tx_crc_force_value 11010110 (2) 11010110 11010110 (2) tx_crc_use false (2) false false (2) tx_data_width n (3) 2n (3) tx_diff_ctrl 500 (2) 500 500 (2) tx_preemphasis 0 (2) 00 (2) notes: 1. all gt_custom attributes are modifiable. 2. modifiable attribute for specific primitives. 3. depends on primitive used: either 1, 2, or 4. 4. attribute value only when rx_data_width is 2 or 4. when rx_data_width is 1, attribute value is 0. 5. attribute value only when rx_data_width is 4. when rx_data_width is 1 or 2, attribute value is 0. table 2-3: default attribute values for gt_aurora, gt_custom, gt_ethernet (continued) attribute default gt_aurora default gt_custom (1) default gt_ethernet
ug012 (v1.0) january 31, 2002 www.xilinx.com 173 virtex-ii pro platform fpga handbook 1-800-255-7778 rocket i/o transceiver r table 2-4: default attribute values for gt_fibre_chan, gt_infiniband, and gt_xaui attribute default gt_fibre_chan default gt_infiniband default gt_xaui align_comma_msb false false false chan_bond_limit 1 16 16 chan_bond_mode off off (1) off (1) chan_bond_offset 0 8 8 chan_bond_one_shot true false (1) false (1) chan_bond_seq_1_1 00000000000 00110111100 00101111100 chan_bond_seq_1_2 00000000000 lane id (modify with lane id) 00000000000 chan_bond_seq_1_3 00000000000 00001001010 00000000000 chan_bond_seq_1_4 00000000000 00001001010 00000000000 chan_bond_seq_2_1 00000000000 00110111100 00000000000 chan_bond_seq_2_2 00000000000 lane id (modify with lane id) 00000000000 chan_bond_seq_2_3 00000000000 00001000101 00000000000 chan_bond_seq_2_4 00000000000 00001000101 00000000000 chan_bond_seq_2_use false true false chan_bond_seq_len 1 4 1 chan_bond_wait 7 8 8 clk_cor_insert_idle_flag false (1) false (1) false (1) clk_cor_keep_idle false (1) false (1) false (1) clk_cor_repeat_wait 2 (1) 1 (1) 1 (1) clk_cor_seq_1_1 00110111100 00100011100 00100011100 clk_cor_seq_1_2 00010010101 00000000000 00000000000 clk_cor_seq_1_3 00010110101 00000000000 00000000000 clk_cor_seq_1_4 00010110101 00000000000 00000000000 clk_cor_seq_2_1 00000000000 00000000000 00000000000 clk_cor_seq_2_2 00000000000 00000000000 00000000000 clk_cor_seq_2_3 00000000000 00000000000 00000000000 clk_cor_seq_2_4 00000000000 00000000000 00000000000 clk_cor_seq_2_use false false false clk_cor_seq_len 4 1 1 clk_correct_use true true true comma_10b_mask 1111111000 1111111000 1111111000
174 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r crc_end_of_pkt k29_7 note (3) k29_7 (1) crc_format fibre_chan infiniband user_mode (1) crc_start_of_pkt k27_7 note (3) k27_7 (1) dec_mcomma_detect true true true dec_pcomma_detect true true true dec_valid_comma_only true true true lane id(infinband only) na 00000000000 (1) na mcomma_10b_value 1100000000 1100000000 1100000000 mcomma_detect true true true pcomma_10b_value 0011111000 0011111000 0011111000 pcomma_detect true true true rx_buffer_use true true true rx_crc_use false (1) false (1) false (1) rx_data_width n (2) n (2) n (2) rx_decode_use true true true rx_los_invalid_incr 1 (1) 1 (1) 1 (1) rx_los_threshold 4 (1) 4 (1) 4 (1) rx_loss_of_sync_fsm true (1) true (1) true (1) serdes_10b false (1) false (1) false (1) termination_imp 50 (1) 50 (1) 50 (1) tx_buffer_use true true true tx_crc_force_value 11010110 (1) 11010110 (1) 11010110 (1) tx_crc_use false (1) false (1) false (1) tx_data_width n (2) n (2) n (2) tx_diff_ctrl 500 (1) 500 (1) 500 (1) tx_preemphasis 0 (1) 0 (1) 0 (1) notes: 1. modifiable attribute for specific primitives. 2. depends on primitive used: either 1, 2, or 4. 3. crc_eop and crc_sop are not applicable for this primitive. table 2-4: default attribute values for gt_fibre_chan, gt_infiniband, and gt_xaui (continued) attribute default gt_fibre_chan default gt_infiniband default gt_xaui
ug012 (v1.0) january 31, 2002 www.xilinx.com 175 virtex-ii pro platform fpga handbook 1-800-255-7778 rocket i/o transceiver r byte mapping most of the 4-bit wide status and control buses correlate to a specific byte of the txdata or rxdata. this scheme is shown in table 2-5 . this creates a way to tie all the signals together regardless of the data path width needed for the gt_custom. all other primitives with specific data width paths and all byte-mapped ports are affected by this situation. for example, a 1-byte wide data path has only 1-bit control and status bits (txkerr[0]) correlating to the data bits txdata[7:0]. note 3 in ta ble 2 -1 shows the ports that use byte mapping. clocking clock signals there are five clock inputs into each rocket i/o transceiver instantiation ( table 2-6 ). refclk is a clock generated from an external source. refclk is connected to the refclk of the rocket i/o transceiver. it also clocks a digital clock manager (dcm) to generate all of the other clocks for the gigabit transceiver. typically, txusrclk = rxusrclk and txusrclk2 = rxusrclk2. the transceiver uses one or two clocks generated by the dcm. as an example, the usrclk and usrclk2 clocks run at the same speed if the 2-byte data path is used. the usrclk must always be frequency-locked to the reference clock, refclk of the rocket i/o transceiver. note: the refclk must be at least 40 mhz with a duty cycle between 45% and 55%, and should have a frequency stability of 100 ppm or better, with jitter as low as possible. module 3 of the virtex-ii pro data sheet gives further details. table 2-5: control/status bus association to data bus byte paths. control/status bit data bits [0] [7:0] [1] [15:8] [2] [23:16] [3] [31:24] table 2-6: clock ports clock i/os description rxrecclk output recovered clock (from serial data stream) divided by 20 refclk input reference clock used to read the tx fifo and multiplied by 20 for parallel-to-serial conversion (20x) refclk2 input reference clock used to read the tx fifo and multiplied by 20 for parallel-to-serial conversion (20x) refclksel input selects which reference clock is used. 0 selects refclk; 1 selects refclk2. rxusrclk input clock from fpga used for reading the rx elastic buffer. clock signals chbondi and chbondo into and out of the transceiver. this clock is typically the same as txusrclk.
176 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r clock ratio usrclk2 clocks the data buffers. the ability to send parallel data to the transceiver at three different widths requires the user to change the frequency of usrclk2. this creates a frequency ratio between usrclk and usrclk2. the falling edges of the clocks must align. finally, for a 4-byte data path, the 1-byte data path creates a clocking scheme where usrclk2 is phase-shifted 180 and at twice the rate of usrclk. digital clock manager (dcm) examples with at least three different clocking schemes possible on the transceiver, a dcm is the best way to create these schemes. ta ble 2 -8 shows typical dcm connections for several transceiver clocks. refclk is the input reference clock for the dcm. the other clocks are generated by the dcm. the dcm establishes a desired phase relationship between rxusrclk, txusrclk, etc. in the fpga fabric and refclk at the pad. txusrclk input clock from fpga used for writing the tx buffer. this clock must be frequency locked to refclk for proper operation. rxusrclk2 input clock from fpga used to clock rx data and status between the transceiver and fpga fabric. the relationship between rxusrclk2 and rxusrclk depends on the width of the receiver data path. rxusrclk2 is typically the same as txusrclk2. txusrclk2 input clock from fpga used to clock tx data and status between the transceiver and fpga fabric. the relationship between txusrclk2 and txusrclk depends on the width of the transmission data path. table 2-6: clock ports (continued) clock i/os description table 2-7: data width clock ratios data width frequency ratio of usrclk\usrclk2 1 byte 1:2 (1) 2 byte 1:1 4 byte 2:1 (1) notes: 1. each edge of slower clock must align with falling edge of faster clock table 2-8: dcm outputs for different data_widths serdes_10b tx_data_width rx_data_width refclk txusrclk rxusrclk txusrclk2 rxusrclk2 false 1 clkin clk0 clk2x180 false 2 clkin clk0 clk0 false 4 clkin clk180 (1) clkdv (divide by 2) tru e 1 clk in clkd v (divide by 2) clk180 (1)
ug012 (v1.0) january 31, 2002 www.xilinx.com 177 virtex-ii pro platform fpga handbook 1-800-255-7778 rocket i/o transceiver r example : two-byte clock the following hdl codes are examples of a simple clock scheme using 2-byte data with both usrclk and usrclk2 at the same frequency. usrclk_m is the input for both usrclk and usrclk2. be sure to check the xilinx virtex-ii pro web page at www.xilinx.com/virtex2pro for the latest code files. vhdl template -- module: two_byte_clk -- description: vhdl submodule -- dcm for 2-byte gt -- -- device: virtex-ii pro family --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- -- pragma translate_off library unisim; use unisim.vcomponents.all; -- pragma translate_on -- entity two_byte_clk is port ( refclkin : in std_logic; rst : in std_logic; usrclk_m : out std_logic; refclk : out std_logic; tru e 2 clk in clkd v (divide by 2) clkdv (divide by 2) tru e 4 clk in clkfx 1 80 (divide by 2) clkdv (divide by 4) notes: 1. since clk0 is needed for feedback, it can be used instead of clk180 to clock usrclk or usrclk2 of the transceiver with the use of the transceiver ? s local inverter, saving a global buffer (bufg). table 2-8: dcm outputs for different data_widths serdes_10b tx_data_width rx_data_width refclk txusrclk rxusrclk txusrclk2 rxusrclk2 figure 2-1: two-byte clock mgt + dcm for 2-byte data path gt_std_2 refclksel refclk txusrclk2 rxusrclk2 txusrclk rxusrclk clkin clkfb rst dcm clk0 0 refclk bufg ibufg clocks for 2-byte data path txusrclk rxusrclk txusrclk2 rxusrclk2 refclk ug024_02_021102
178 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r lock : out std_logic ); end two_byte_clk; -- architecture two_byte_clk_arch of two_byte_clk is -- -- components declarations: component bufg port ( i : in std_logic; o : out std_logic ); end component; -- component dcm port ( clkin : in std_logic; clkfb : in std_logic; dssen : in std_logic; psincdec : in std_logic; psen : in std_logic; psclk : in std_logic; rst : in std_logic; clk0 : out std_logic; clk90 : out std_logic; clk180 : out std_logic; clk270 : out std_logic; clk2x : out std_logic; clk2x180 : out std_logic; clkdv : out std_logic; clkfx : out std_logic; clkfx180 : out std_logic; locked : out std_logic; psdone : out std_logic; status : out std_logic_vector ( 7 downto 0 ) ); end component; -- -- signal declarations: -- signal gnd : std_logic; signal clk0_w : std_logic; signal clk1x_w : std_logic; begin gnd <= ? 0 ? ; -- clk1x <= clk1x_w; -- -- dcm instantiation u_dcm: dcm port map ( clkin => refclk, clkfb => usrclk_m, dssen => gnd, psincdec => gnd, psen => gnd, psclk => gnd, rst => rst, clk0 => clk0_w,
ug012 (v1.0) january 31, 2002 www.xilinx.com 179 virtex-ii pro platform fpga handbook 1-800-255-7778 rocket i/o transceiver r locked => lock ); -- -- bufg instantiation u_bufg: bufg port map ( i => refclkin, o => refclk ); u2_bufg: bufg port map ( i => clk0_w, o => usrclk_m ); end two_byte_clk_arch; verilog template //module: two_byte_clk //description: verilog submodule // dcm for 2-byte gt // // device: virtex-ii pro family module two_byte_clk ( refclkin, refclk, usrclk_m, dcm_locked ); input refclkin; output refclk; output usrclk_m; output dcm_locked; wire refclkin; wire refclk; wire usrclk_m; wire dcm_locked; wire refclkinbuf; wire clk_i; dcm dcm1 ( .clkfb ( usrclk_m ), .clkin ( refclkinbuf ), .dssen( 1 ? b0 ), .psclk ( 1 ? b0 ), .psen ( 1 ? b0 ), .psincdec ( 1 ? b0 ), .rst ( 1 ? b0 ), .clk0 ( clk_i ), .clk90 ( ), .clk180 ( ), .clk270 ( ), .clk2x ( ), .clk2x180 ( ), .clkdv ( ), .clkfx ( ), .clkfx180 ( ), .locked ( dcm_locked ), .psdone ( ), .status ( )
180 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r ); bufg buf1 ( .i ( clk_i ), .o ( usrclk_m ) ); bufg buf2 ( .i ( refclkin ), .o ( refclkinbuf )); endmodule processor block introduction this section briefly describes the processor block user signals. examples of hdl instatiation templates are also shown. two addtional user manuals detail the hardware and software design aspects of the processor block. the processor block manual provides information on input/output signals, timing relationships between signals, and the mechanisms software can use to control the interface operation. the ppc405 user manual serves as a stand-alone reference for application and system programmers of the ppc405 processor core. for the latest information, visit www.xilinx.com/virtex2pro . the following table summarizes the processor block user signals. for more details, refer to the processor block manual . table 2-9: ppc405 interface signals in alphabetical order signal i/o type if unused function bramdsocmclk i 0 clocks the dsocm controller. bramdsocmrddbus[0:31] i 0x0000_0000 32-bit read data from block rams to dsocm. bramisocmclk i 0 clocks the isocm controller. bramisocmrddbus[0:63] i 0x0000_0000 _0000_0000 64-bit read data from block rams, two instructions per cycle, to isocm. c405cpmcoresleepreq o no connect indicates the core is requesting to be put into sleep mode. c405cpmmsrce o no connect indicates the value of msr[ce]. c405cpmmsree o no connect indicates the value of msr[ee]. c405cpmtimerirq o no connect indicates a timer-interrupt request occurred. c405cpmtimerresetreq o no connect indicates a watchdog-timer reset request occurred. c405dbgmsrwe o no connect indicates the value of msr[we]. c405dbgstopack o no connect indicates the ppc405 is in debug halt mode. c405dbgwbcomplete o no connect indicates the current instruction in the ppc405 writeback pipeline stage is completing. c405dbgwbfull o no connect indicates the ppc405 writeback pipeline stage is full. c405dbgwbiar[0:29] o no connect the address of the current instruction in the ppc405 writeback pipeline stage.
ug012 (v1.0) january 31, 2002 www.xilinx.com 181 virtex-ii pro platform fpga handbook 1-800-255-7778 processor block r c405dcrabus[0:9] o no connect specifies the address of the dcr access request. c405dcrdbusout[0:31] o no connect or attach to input bus the 32-bit dcr write-data bus. c405dcrread o no connect indicates a dcr read request occurred. c405dcrwrite o no connect indicates a dcr write request occurred. c405jtgcapturedr o no connect indicates the tap controller is in the capture-dr state. c405jtgextest o no connect indicates the jtag extest instruction is selected. c405jtgpgmout o no connect indicates the state of a general purpose program bit in the jtag debug control register (jdcr). c405jtgshiftdr o no connect indicates the tap controller is in the shift-dr state. c405jtgtdo o no connect jtag tdo (test-data out). c405jtgtdoen o no connect indicates the jtag tdo signal is enabled. c405jtgupdatedr o no connect indicates the tap controller is in the update-dr state. c405plbdcuabort o no connect indicates the dcu is aborting an unacknowledged data-access request. c405plbdcuabus[0:31] o no connect specifies the memory address of the data-access request. c405plbdcube[0:7] o no connect specifies which bytes are transferred during single- word transfers. c405plbdcucacheable o no connect indicates the value of the cacheability storage attribute for the target address. c405plbdcuguarded o no connect indicates the value of the guarded storage attribute for the target address. c405plbdcupriority[0:1] o no connect indicates the priority of the data-access request. c405plbdcurequest o no connect indicates the dcu is making a data-access request. c405plbdcurnw o no connect specifies whether the data-access request is a read or a write. c405plbdcusize2 o no connect specifies a single word or eight-word transfer size. c405plbdcuu0attr o no connect indicates the value of the user-defined storage attribute for the target address. c405plbdcuwrdbus[0:63] o no connect the dcu write-data bus used to transfer data from the dcu to the plb slave. c405plbdcuwritethru o no connect indicates the value of the write-through storage attribute for the target address. c405plbicuabort o no connect indicates the icu is aborting an unacknowledged fetch request. c405plbicuabus[0:29] o no connect specifies the memory address of the instruction- fetch request. bits 30:31 of the 32-bit address are assumed to be zero. table 2-9: ppc405 interface signals in alphabetical order (continued) signal i/o type if unused function
182 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r c405plbicucacheable o no connect indicates the value of the cacheability storage attribute for the target address. c405plbicupriority[0:1] o no connect indicates the priority of the icu fetch request. c405plbicurequest o no connect indicates the icu is making an instruction-fetch request. c405plbicusize[2:3] o no connect specifies a four word or eight word line-transfer size. c405plbicuu0attr o no connect indicates the value of the user-defined storage attribute for the target address. c405rstchipresetreq o required indicates a user-defined chip-reset request occurred. c405rstcoreresetreq o required indicates a user-defined core-reset request occurred. c405rstsysresetreq o required indicates a user-defined system-reset request occurred. c405trccycle o no connect specifies the trace cycle. c405trcevenexecutionstatus[0:1] o no connect specifies the execution status collected during the first of two processor cycles. c405trcoddexecutionstatus[0:1] o no connect specifies the execution status collected during the second of two processor cycles. c405trctracestatus[0:3] o no connect specifies the trace status. c405trctriggereventout o wrap to trcc405 trigger eventin indicates a trigger event occurred. c405trctriggereventtype[0:10] o no connect specifies which debug event caused the trigger event. c405xxxmachinecheck o no connect indicates a machine-check error has been detected by the ppc405. cpmc405clock i 1 ppc405 clock input (for all non-jtag logic, including timers). cpmc405coreclkinactive i 0 indicates the cpm logic disabled the clocks to the core. cpmc405cpuclken i 1 enables the core clock zone. cpmc405jtagclken i 1 enables the jtag clock zone. cpmc405timerclken i 1 enables the timer clock zone. cpmc405timertick i 1 increments or decrements the ppc405 timers every time it is active with the cpmc405clock. dbgc405debughalt i 0 indicates the external debug logic is placing the processor in debug halt mode. dbgc405extbusholdack i 0 indicates the bus controller has given control of the bus to an external master. dbgc405unconddebugevent i 0 indicates the external debug logic is causing an unconditional debug event. table 2-9: ppc405 interface signals in alphabetical order (continued) signal i/o type if unused function
ug012 (v1.0) january 31, 2002 www.xilinx.com 183 virtex-ii pro platform fpga handbook 1-800-255-7778 processor block r dcrc405ack i 0 indicates a dcr access has been completed by a peripheral. dcrc405dbusin[0:31] i 0x0000_0000 or attach to output bus the 32-bit dcr read-data bus. dsarcvalue[0:7] i 0x00 default value that needs to be loaded into dsarc register at fpga power up. dscntlvalue[0:7] i 0x40 default value that needs to be loaded into dscntl register at fpga power up. dsocmbramabus[8:29] o no connect read or write address from dsocm to dsbram. a write address is accompanied by a write enable signal for each byte lane of data. corresponds to cpu address bits [8:29]. dsocmbrambytewrite[0:3] o no connect four write enable signals to allow independent byte-wide data writes into block rams. dsocmbramen o no connect the block ram enable signal is asserted for both read and writes to the dsbram. dsocmbramwrdbus[0:31] o no connect 32-bit write data from dsocm to block rams. dsocmbusy o no connect this control signal reflects the value of the dscntl[2] bit out to the fpga fabric. eicc405critinputirq i 0 indicates an external critical interrupt occurred. eicc405extinputirq i 0 indicates an external noncritical interrupt occurred. isarcvalue[0:7] i 0x00 default value that needs to be loaded into isarc register, at fpga power up. iscntlvalue[0:7] i 0x00 default value that needs to be loaded into iscntl register, at fpga power up. isocmbramen o no connect block ram read enable from isocm to block rams. isocmbramoddwriteen o no connect write enable to qualify a valid write into a block ram. isocmbramrdabus[8:28] o no connect read address from isocm to block ram. corresponds to cpu address bits [8:28]. isocmbramwrabus[8:28] o no connect write address from isocm to block rams. initially set to value in isinit register. (optional. used in dual-port bram interface designs only.) isocmbramwrdbus[0:31] o no connect 32-bit write data from isocm to block rams. connect to both the even and odd write only isbram data input ports. initially set to value in isfill register. (optional. used in dual-port bram interface designs only.) jtgc405bndscantdo i 0 jtag boundary scan input from the previous boundary scan element tdo output. jtgc405tck i 1 jtag tck (test clock). jtgc405tdi i 1 jtag tdi (test-data in). table 2-9: ppc405 interface signals in alphabetical order (continued) signal i/o type if unused function
184 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r jtgc405tms i 1 jtag tms (test-mode select). jtgc405trstneg i 1 performs a jtag test reset. mcbcpuclken i 1 indicates the ppc405 clock enable should follow gwe during a partial reconfiguration. mcbjtagen i 1 indicates the jtag clock enable should follow gwe during a partial reconfiguration. mcbtimeren i 1 indicates the timer clock enable should follow gwe during a partial reconfiguration. mcppcrst i 1 indicates the ppc405 should be reset when gsr is asserted during a partial reconfiguration. plbc405dcuaddrack i 0 indicates a plb slave acknowledges the current data-access request. plbc405dcubusy i 0 indicates the plb slave is busy performing an operation requested by the dcu. plbc405dcuerr i 0 indicates an error was detected by the plb slave during the transfer of data to or from the dcu. plbc405dcurddack i 0 indicates the dcu read-data bus contains valid data for transfer to the dcu. plbc405dcurddbus[0:63] i 0x0000_0000 _0000_0000 the dcu read-data bus used to transfer data from the plb slave to the dcu. plbc405dcurdwdaddr[1:3] i 0b000 indicates which word or doubleword of an eight- word line transfer is present on the dcu read-data bus. plbc405dcussize1 i 0 specifies the bus width (size) of the plb slave that accepted the request. plbc405dcuwrdack i 0 indicates the data on the dcu write-data bus is being accepted by the plb slave. plbc405icuaddrack i 0 indicates a plb slave acknowledges the current icu fetch request. plbc405icubusy i 0 indicates the plb slave is busy performing an operation requested by the icu. plbc405icuerr i 0 indicates an error was detected by the plb slave during the transfer of instructions to the icu. plbc405icurddack i 0 indicates the icu read-data bus contains valid instructions for transfer to the icu. plbc405icurddbus[0:63] i 0x0000_0000 _0000_0000 the icu read-data bus used to transfer instructions from the plb slave to the icu. plbc405icurdwdaddr[1:3] i 0b000 indicates which word or doubleword of a four-word or eight-word line transfer is present on the icu read-data bus. plbc405icussize1 i 0 specifies the bus width (size) of the plb slave that accepted the request. plbclk i 1 plb clock. table 2-9: ppc405 interface signals in alphabetical order (continued) signal i/o type if unused function
ug012 (v1.0) january 31, 2002 www.xilinx.com 185 virtex-ii pro platform fpga handbook 1-800-255-7778 processor block r instantiation templates vhdl and verilog instantiation templates are available as examples for all submodules. in vhdl, each template has a component declaration section and an architecture section. each part of the template should be inserted within the vhdl design file. the port map of the architecture section should include the design signal names. as examples, the ppc405_subm.vhd vhdl template and ppc405_subm.v verilog template are shown. (be sure to check the xilinx virtex-ii pro web page at www.xilinx.com/virtex2pro for the latest code files.0 vhdl template -- module: proc_blk_template -- description: verilog module -- processor block instantiation template -- -- device: virtex-ii pro family --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity top is rstc405resetchip i 0 user-defined chip reset. it has no effect on fpga fabric global set/reset. rstc405resetcore i 0 reset request for the ppc405 core logic, data cache, instruction cache, and the on-chip memory controller (ocm). rstc405resetsys i 0 user-defined system reset request. it has no effect on fpga fabric global set/reset. tiec405deterministicmult i 0 specifies whether all multiply operations complete in a fixed number of cycles or have an early-out capability. tiec405disoperandfwd i 1 disables operand forwarding for load instructions. tiec405mmuen i 1 enables the memory-management unit (mmu) tiedsocmdcraddr[0:7] i 0x00 top 8 bits of dcr address space for dsocm dcr registers. the dcr address space is 10 bits wide. the two least significant bits are predefined in dsocm controller. tieisocmdcraddr[0:7] i 0x00 top 8 bits of dcr address space for isocm dcr registers. the dcr address space is 10 bits wide. the two least significant bits are predefined in isocm controller. trcc405tracedisable i 0 disables trace collection and broadcast. trcc405triggereventin i wrap to c405trc trigger eventout indicates a trigger event occurred and that trace status is to be generated. table 2-9: ppc405 interface signals in alphabetical order (continued) signal i/o type if unused function
186 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r port ( -- user defined port list ); end top; architecture arch of top is --------------------------------------------------------------------- -- the following convention is used for signal names throughout this -- document: -- prefix1prefix2signame1[signame1][neg][(m:n)] -- the components of a signal name are as follows: --* prefix1 is an uppercase prefix identifying the source of the -- signal. this prefix specifies either a unit (for example, cpu) -- or a type of interface (for example, dcr). if prefix1 specifies -- the processor block, the signal is considered an output signal. -- otherwise, it is an input signal. --* prefix2 is an uppercase prefix identifying the destination of -- the signal. this prefix specifies either a unit (for example, -- cpu) or a type of interface (for example, dcr). if prefix2 -- specifies the processor block, the signal is considered an input -- signal. otherwise, it is an output signal. --* signame1 is an uppercase name identifying the primary function of -- the signal. --* [signame1] is an uppercase name identifying the primary function of -- the signal. --* [neg] is an optional notation that indicates a signal is active low. -- if this notation is not use, the signal is active high. --* [m:n] is an optional notation that indicates a bused signal. "m" -- designates the most-significant bit of the bus and "n" designates -- the least-significant bit of the bus. -- the following table defines the prefixes used in the signal names. -- prefix1/2 definition -- ====================== -- cpm clock and power management -- c405 processor block -- dbg debug unit -- dcr device control register -- dsocm data-side on-chip memory (dsocm -- eic external interrupt controller -- isocm instruction-side on-chip memory (isocm) -- jtg jtag -- plb processor local bus -- rst reset -- tie tie (signal tied statically to gnd or vdd) -- trc trace -- xxx fpga unit --refer to processor block manual for detailed interface descriptions. --------------------------------------------------------------------*/
ug012 (v1.0) january 31, 2002 www.xilinx.com 187 virtex-ii pro platform fpga handbook 1-800-255-7778 processor block r component ppc405 port ( cpmc405clock : in std_logic; cpmc405coreclkinactive : in std_logic; cpmc405cpuclken : in std_logic; cpmc405jtagclken : in std_logic; cpmc405timerclken : in std_logic; cpmc405timertick : in std_logic; c405cpmcoresleepreq : out std_logic; c405cpmmsrce : out std_logic; c405cpmmsree : out std_logic; c405cpmtimerirq : out std_logic; c405cpmtimerresetreq : out std_logic; plbc405icuaddrack : in std_logic; plbc405icubusy : in std_logic; plbc405icuerr : in std_logic; plbc405icurddack : in std_logic; plbc405icurddbus : in std_logic_vector(0 to 63); plbc405icurdwdaddr : in std_logic_vector(1 to 3); plbc405icussize1 : in std_logic; plbclk : in std_logic; c405plbicuabort : out std_logic; c405plbicuabus : out std_logic_vector(0 to 29); c405plbicucacheable : out std_logic; c405plbicupriority : out std_logic_vector(0 to 1); c405plbicurequest : out std_logic; c405plbicusize : out std_logic_vector(2 to 3); c405plbicuu0attr : out std_logic; plbc405dcuaddrack : in std_logic; plbc405dcubusy : in std_logic; plbc405dcuerr : in std_logic; plbc405dcurddack : in std_logic; plbc405dcurddbus : in std_logic_vector(0 to 63); plbc405dcurdwdaddr : in std_logic_vector(1 to 3); plbc405dcussize1 : in std_logic; plbc405dcuwrdack : in std_logic; c405plbdcuabort : out std_logic; c405plbdcuabus : out std_logic_vector(0 to 31); c405plbdcube : out std_logic_vector(0 to 7); c405plbdcucacheable : out std_logic; c405plbdcuguarded : out std_logic; c405plbdcupriority : out std_logic_vector(0 to 1); c405plbdcurequest : out std_logic; c405plbdcurnw : out std_logic; c405plbdcusize2 : out std_logic; c405plbdcuu0attr : out std_logic; c405plbdcuwrdbus : out std_logic_vector(0 to 63); c405plbdcuwritethru : out std_logic; dcrc405ack : in std_logic; dcrc405dbusin : in std_logic_vector(0 to 31); c405dcrabus : out std_logic_vector(0 to 9); c405dcrdbusout : out std_logic_vector(0 to 31); c405dcrread : out std_logic; c405dcrwrite : out std_logic; bramdsocmclk : in std_logic; bramdsocmrddbus : in std_logic_vector(0 to 31); bramisocmclk : in std_logic; bramisocmrddbus : in std_logic_vector(0 to 63); dsocmbramabus : out std_logic_vector(8 to 29); dsocmbrambytewrite : out std_logic_vector(0 to 3); dsocmbramen : out std_logic; dsocmbramwrdbus : out std_logic_vector(0 to 31);
188 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r dsocmbusy : out std_logic; isocmbramen : out std_logic; isocmbramevenwriteen : out std_logic; isocmbramoddwriteen : out std_logic; isocmbramrdabus : out std_logic_vector(8 to 28); isocmbramwrabus : out std_logic_vector(8 to 28); isocmbramwrdbus : out std_logic_vector(0 to 31); tiedsocmdcraddr : in std_logic_vector (0 to 7); tieisocmdcraddr : in std_logic_vector (0 to 7); dsarcvalue : in std_logic_vector (0 to 7); dscntlvalue : in std_logic_vector (0 to 7); isarcvalue : in std_logic_vector (0 to 7); iscntlvalue : in std_logic_vector (0 to 7); dbgc405debughalt : in std_logic; dbgc405extbusholdack : in std_logic; dbgc405unconddebugevent : in std_logic; c405dbgmsrwe : out std_logic; c405dbgstopack : out std_logic; c405dbgwbcomplete : out std_logic; c405dbgwbfull : out std_logic; c405dbgwbiar : out std_logic_vector (0 to 29); jtgc405bndscantdo : in std_logic; jtgc405tck : in std_logic; jtgc405tdi : in std_logic; jtgc405tms : in std_logic; jtgc405trstneg : in std_logic; c405jtgcapturedr : out std_logic; c405jtgextest : out std_logic; c405jtgpgmout : out std_logic; c405jtgshiftdr : out std_logic; c405jtgtdo : out std_logic; c405jtgtdoen : out std_logic; c405jtgupdatedr : out std_logic; trcc405tracedisable : in std_logic; trcc405triggereventin : in std_logic; c405trccycle : out std_logic; c405trcevenexecutionstatus : out std_logic_vector(0 to 1); c405trcoddexecutionstatus : out std_logic_vector(0 to 1); c405trctracestatus : out std_logic_vector(0 to 3); c405trctriggereventout : out std_logic; c405trctriggereventtype : out std_logic_vector(0 to 10); rstc405resetchip : in std_logic; rstc405resetcore : in std_logic; rstc405resetsys : in std_logic; c405rstchipresetreq : out std_logic; c405rstcoreresetreq : out std_logic; c405rstsysresetreq : out std_logic; eicc405critinputirq : in std_logic; eicc405extinputirq : in std_logic; tiec405deterministicmult : in std_logic; tiec405disoperandfwd : in std_logic; tiec405mmuen : in std_logic; c405xxxmachinecheck : out std_logic; mcbcpuclken : in std_logic; mcbjtagen : in std_logic; mcbtimeren : in std_logic; mcppcrst : in std_logic); end component; signal cpmc405clock : std_logic; signal cpmc405coreclkinactive : std_logic; signal cpmc405cpuclken : std_logic;
ug012 (v1.0) january 31, 2002 www.xilinx.com 189 virtex-ii pro platform fpga handbook 1-800-255-7778 processor block r signal cpmc405jtagclken : std_logic; signal cpmc405timerclken : std_logic; signal cpmc405timertick : std_logic; signal c405cpmcoresleepreq : std_logic; signal c405cpmmsrce : std_logic; signal c405cpmmsree : std_logic; signal c405cpmtimerirq : std_logic; signal c405cpmtimerresetreq : std_logic; signal plbc405icuaddrack : std_logic; signal plbc405icubusy : std_logic; signal plbc405icuerr : std_logic; signal plbc405icurddack : std_logic; signal plbc405icurddbus : std_logic_vector(0 to 63); signal plbc405icurdwdaddr : std_logic_vector(1 to 3); signal plbc405icussize1 : std_logic; signal plbclk : std_logic; signal c405plbicuabort : std_logic; signal c405plbicuabus : std_logic_vector(0 to 29); signal c405plbicucacheable : std_logic; signal c405plbicupriority : std_logic_vector(0 to 1); signal c405plbicurequest : std_logic; signal c405plbicusize : std_logic_vector(2 to 3); signal c405plbicuu0attr : std_logic; signal plbc405dcuaddrack : std_logic; signal plbc405dcubusy : std_logic; signal plbc405dcuerr : std_logic; signal plbc405dcurddack : std_logic; signal plbc405dcurddbus : std_logic_vector(0 to 63); signal plbc405dcurdwdaddr : std_logic_vector(1 to 3); signal plbc405dcussize1 : std_logic; signal plbc405dcuwrdack : std_logic; signal c405plbdcuabort : std_logic; signal c405plbdcuabus : std_logic_vector(0 to 31); signal c405plbdcube : std_logic_vector(0 to 7); signal c405plbdcucacheable : std_logic; signal c405plbdcuguarded : std_logic; signal c405plbdcupriority : std_logic_vector(0 to 1); signal c405plbdcurequest : std_logic; signal c405plbdcurnw : std_logic; signal c405plbdcusize2 : std_logic; signal c405plbdcuu0attr : std_logic; signal c405plbdcuwrdbus : std_logic_vector(0 to 63); signal c405plbdcuwritethru : std_logic; signal dcrc405ack : std_logic; signal dcrc405dbusin : std_logic_vector(0 to 31); signal c405dcrabus : std_logic_vector(0 to 9); signal c405dcrdbusout : std_logic_vector(0 to 31); signal c405dcrread : std_logic; signal c405dcrwrite : std_logic; signal bramdsocmclk : std_logic; signal bramdsocmrddbus : std_logic_vector(0 to 31); signal bramisocmclk : std_logic; signal bramisocmrddbus : std_logic_vector(0 to 63); signal dsocmbramabus : std_logic_vector(8 to 29); signal dsocmbrambytewrite : std_logic_vector(0 to 3); signal dsocmbramen : std_logic; signal dsocmbramwrdbus : std_logic_vector(0 to 31); signal dsocmbusy : std_logic; signal isocmbramen : std_logic; signal isocmbramevenwriteen : std_logic; signal isocmbramoddwriteen : std_logic; signal isocmbramrdabus : std_logic_vector(8 to 28);
190 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r signal isocmbramwrabus : std_logic_vector(8 to 28); signal isocmbramwrdbus : std_logic_vector(0 to 31); signal tiedsocmdcraddr : std_logic_vector (0 to 7); signal tieisocmdcraddr : std_logic_vector (0 to 7); signal dsarcvalue : std_logic_vector (0 to 7); signal dscntlvalue : std_logic_vector (0 to 7); signal isarcvalue : std_logic_vector (0 to 7); signal iscntlvalue : std_logic_vector (0 to 7); signal dbgc405debughalt : std_logic; signal dbgc405extbusholdack : std_logic; signal dbgc405unconddebugevent : std_logic; signal c405dbgmsrwe : std_logic; signal c405dbgstopack : std_logic; signal c405dbgwbcomplete : std_logic; signal c405dbgwbfull : std_logic; signal c405dbgwbiar : std_logic_vector (0 to 29); signal jtgc405bndscantdo : std_logic; signal jtgc405tck : std_logic; signal jtgc405tdi : std_logic; signal jtgc405tms : std_logic; signal jtgc405trstneg : std_logic; signal c405jtgcapturedr : std_logic; signal c405jtgextest : std_logic; signal c405jtgpgmout : std_logic; signal c405jtgshiftdr : std_logic; signal c405jtgtdo : std_logic; signal c405jtgtdoen : std_logic; signal c405jtgupdatedr : std_logic; signal trcc405tracedisable : std_logic; signal trcc405triggereventin : std_logic; signal c405trccycle : std_logic; signal c405trcevenexecutionstatus : std_logic_vector(0 to 1); signal c405trcoddexecutionstatus : std_logic_vector(0 to 1); signal c405trctracestatus : std_logic_vector(0 to 3); signal c405trctriggereventout : std_logic; signal c405trctriggereventtype : std_logic_vector(0 to 10); signal rstc405resetchip : std_logic; signal rstc405resetcore : std_logic; signal rstc405resetsys : std_logic; signal c405rstchipresetreq : std_logic; signal c405rstcoreresetreq : std_logic; signal c405rstsysresetreq : std_logic; signal eicc405critinputirq : std_logic; signal eicc405extinputirq : std_logic; signal tiec405deterministicmult : std_logic; signal tiec405disoperandfwd : std_logic; signal tiec405mmuen : std_logic; signal c405xxxmachinecheck : std_logic; signal mcbcpuclken : std_logic; signal mcbjtagen : std_logic; signal mcbtimeren : std_logic; signal mcppcrst : std_logic; begin -- processor block instantiation processorblock : ppc405 port map ( -- clock and power management interface cpmc405clock => cpmc405clock,
ug012 (v1.0) january 31, 2002 www.xilinx.com 191 virtex-ii pro platform fpga handbook 1-800-255-7778 processor block r cpmc405coreclkinactive => cpmc405coreclkinactive, cpmc405cpuclken => cpmc405cpuclken, cpmc405jtagclken => cpmc405jtagclken, cpmc405timerclken => cpmc405timerclken, cpmc405timertick => cpmc405timertick, c405cpmcoresleepreq => c405cpmcoresleepreq, c405cpmmsrce => c405cpmmsrce, c405cpmmsree => c405cpmmsree, c405cpmtimerirq => c405cpmtimerirq, c405cpmtimerresetreq => c405cpmtimerresetreq, -- cpu control interface tiec405deterministicmult => tiec405deterministicmult, tiec405disoperandfwd => tiec405disoperandfwd, tiec405mmuen => tiec405mmuen, c405xxxmachinecheck => c405xxxmachinecheck, -- reset interface rstc405resetchip => rstc405resetchip, rstc405resetcore => rstc405resetcore, rstc405resetsys => rstc405resetsys, c405rstchipresetreq => c405rstchipresetreq, c405rstcoreresetreq => c405rstcoreresetreq, c405rstsysresetreq => c405rstsysresetreq, -- processor local bus clock plbclk => plbclk, -- instruction-side processor local bus interface plbc405icuaddrack => plbc405icuaddrack, plbc405icubusy => plbc405icubusy, plbc405icuerr => plbc405icuerr, plbc405icurddack => plbc405icurddack, plbc405icurddbus => plbc405icurddbus, plbc405icurdwdaddr => plbc405icurdwdaddr, plbc405icussize1 => plbc405icussize1, c405plbicuabort => c405plbicuabort, c405plbicuabus => c405plbicuabus, c405plbicucacheable => c405plbicucacheable, c405plbicupriority => c405plbicupriority, c405plbicurequest => c405plbicurequest, c405plbicusize => c405plbicusize, c405plbicuu0attr => c405plbicuu0attr, -- data-side processor local bus interface plbc405dcuaddrack => plbc405dcuaddrack, plbc405dcubusy => plbc405dcubusy, plbc405dcuerr => plbc405dcuerr, plbc405dcurddack => plbc405dcurddack, plbc405dcurddbus => plbc405dcurddbus, plbc405dcurdwdaddr => plbc405dcurdwdaddr, plbc405dcussize1 => plbc405dcussize1, plbc405dcuwrdack => plbc405dcuwrdack, c405plbdcuabort => c405plbdcuabort, c405plbdcuabus => c405plbdcuabus, c405plbdcube => c405plbdcube, c405plbdcucacheable => c405plbdcucacheable, c405plbdcuguarded => c405plbdcuguarded, c405plbdcupriority => c405plbdcupriority, c405plbdcurequest => c405plbdcurequest, c405plbdcurnw => c405plbdcurnw, c405plbdcusize2 => c405plbdcusize2,
192 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r c405plbdcuu0attr => c405plbdcuu0attr, c405plbdcuwrdbus => c405plbdcuwrdbus, c405plbdcuwritethru => c405plbdcuwritethru, -- device control register interface dcrc405ack => dcrc405ack, dcrc405dbusin => dcrc405dbusin, c405dcrabus => c405dcrabus, c405dcrdbusout => c405dcrdbusout, c405dcrread => c405dcrread, c405dcrwrite => c405dcrwrite, -- external interrupt controller interface eicc405critinputirq => eicc405critinputirq, eicc405extinputirq => eicc405extinputirq, -- on-chip memory controller interface bramdsocmclk => bramdsocmclk, bramdsocmrddbus => bramdsocmrddbus, bramisocmclk => bramisocmclk, bramisocmrddbus => bramisocmrddbus, dsocmbramabus => dsocmbramabus, dsocmbrambytewrite => dsocmbrambytewrite, dsocmbramen => dsocmbramen, dsocmbramwrdbus => dsocmbramwrdbus, dsocmbusy => dsocmbusy, isocmbramen => isocmbramen, isocmbramevenwriteen => isocmbramevenwriteen, isocmbramoddwriteen => isocmbramoddwriteen, isocmbramrdabus => isocmbramrdabus, isocmbramwrabus => isocmbramwrabus, isocmbramwrdbus => isocmbramwrdbus, dsarcvalue => dsarcvalue, dscntlvalue => dscntlvalue, isarcvalue => isarcvalue, iscntlvalue => iscntlvalue, tiedsocmdcraddr => tiedsocmdcraddr, tieisocmdcraddr => tieisocmdcraddr, -- jtag interface jtgc405bndscantdo => jtgc405bndscantdo, jtgc405tck => jtgc405tck, jtgc405tdi => jtgc405tdi, jtgc405tms => jtgc405tms, jtgc405trstneg => jtgc405trstneg, c405jtgcapturedr => c405jtgcapturedr, c405jtgextest => c405jtgextest, c405jtgpgmout => c405jtgpgmout, c405jtgshiftdr => c405jtgshiftdr, c405jtgtdo => c405jtgtdo, c405jtgtdoen => c405jtgtdoen, c405jtgupdatedr => c405jtgupdatedr, -- debug interface dbgc405debughalt => dbgc405debughalt, dbgc405extbusholdack => dbgc405extbusholdack, dbgc405unconddebugevent => dbgc405unconddebugevent, c405dbgmsrwe => c405dbgmsrwe, c405dbgstopack => c405dbgstopack, c405dbgwbcomplete => c405dbgwbcomplete, c405dbgwbfull => c405dbgwbfull, c405dbgwbiar => c405dbgwbiar,
ug012 (v1.0) january 31, 2002 www.xilinx.com 193 virtex-ii pro platform fpga handbook 1-800-255-7778 processor block r -- trace interface trcc405tracedisable => trcc405tracedisable, trcc405triggereventin => trcc405triggereventin, c405trccycle => c405trccycle, c405trcevenexecutionstatus => c405trcevenexecutionstatus, c405trcoddexecutionstatus => c405trcoddexecutionstatus, c405trctracestatus => c405trctracestatus, c405trctriggereventout => c405trctriggereventout, c405trctriggereventtype => c405trctriggereventtype, -- special interface mcbcpuclken => mcbcpuclken, mcbjtagen => mcbjtagen, mcbtimeren => mcbtimeren, mcppcrst => mcppcrst ); --top 8 bits of dcr address space for dsocm dcr registers. the dcr --address space is 10 bits wide. the two least significant bits are --predefined in dsocm controller. --for example, -- if tiedsocmdcraddr = 00 0001 11 -- then, address of dsarc = 00 0001 1110 = 0x01e -- address of dscntl = 00 0001 1111 = 0x01f dsarcvalue <= ""; dscntlvalue <= ""; tiedsocmdcraddr <= ""; --top 8 bits of dcr address space for isocm dcr registers. the dcr --address space is 10 bits wide. the two least significant bits are --predefined in isocm controller. --for example, -- if tieisocmdcraddr = 00 0010 11 -- then, address of isinit = 00 0010 1100 = 0x02c -- address of isfill = 00 0010 1101 = 0x02d -- address of isarc = 00 0010 1110 = 0x02e -- address of iscntl = 00 0010 1111 = 0x02f isarcvalue <= ""; iscntlvalue <= ""; tieisocmdcraddr <= ""; end arch; verilog template // module: proc_blk_template // description: verilog module // processor block instantiation template // // device: virtex-ii pro family //------------------------------------------------------------------- module top ( // user defined port list ); // user defined port declaration
194 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r // processor block instantiation /* ------------------------------------------------------------------ the following convention is used for signal names throughout this document: prefix1prefix2signame1[signame1][neg][(m:n)] the components of a signal name are as follows: * prefix1 is an uppercase prefix identifying the source of the signal. this prefix specifies either a unit (for example, cpu) or a type of interface (for example, dcr). if prefix1 specifies the processor block, the signal is considered an output signal. otherwise, it is an input signal. * prefix2 is an uppercase prefix identifying the destination of the signal. this prefix specifies either a unit (for example, cpu) or a type of interface (for example, dcr). if prefix2 specifies the processor block, the signal is considered an input signal. otherwise, it is an output signal. * signame1 is an uppercase name identifying the primary function of the signal. * [signame1] is an uppercase name identifying the primary function of the signal. * [neg] is an optional notation that indicates a signal is active low. if this notation is not use, the signal is active high. * [m:n] is an optional notation that indicates a bused signal. "m" designates the most-significant bit of the bus and "n" designates the least-significant bit of the bus. the following table defines the prefixes used in the signal names. prefix1/2 definition cpm clock and power management c405 processor block dbg debug unit dcr device control register dsocm data-side on-chip memory (dsocm eic external interrupt controller isocm instruction-side on-chip memory (isocm) jtg jtag plb processor local bus rst reset tie tie (signal tied statically to gnd or vdd ) trc trace xxx fpga unit refer to processor block manual for further interface descriptions. -------------------------------------------------------------------*/ ppc405 processorblock ( // clock and power management interface .cpmc405clock ( cpmc405clock ), .cpmc405coreclkinactive ( cpmc405coreclkinactive ), .cpmc405cpuclken ( cpmc405cpuclken ), .cpmc405jtagclken ( cpmc405jtagclken ),
ug012 (v1.0) january 31, 2002 www.xilinx.com 195 virtex-ii pro platform fpga handbook 1-800-255-7778 processor block r .cpmc405timerclken ( cpmc405timerclken ), .cpmc405timertick ( cpmc405timertick ), .c405cpmcoresleepreq ( c405cpmcoresleepreq ), .c405cpmmsrce ( c405cpmmsrce ), .c405cpmmsree ( c405cpmmsree ), .c405cpmtimerirq ( c405cpmtimerirq ), .c405cpmtimerresetreq ( c405cpmtimerresetreq ), // cpu control interface .tiec405deterministicmult ( tiec405deterministicmult ), .tiec405disoperandfwd ( tiec405disoperandfwd ), .tiec405mmuen ( tiec405mmuen ), .c405xxxmachinecheck ( c405xxxmachinecheck ), // reset interface .rstc405resetchip ( rstc405resetchip ), .rstc405resetcore ( rstc405resetcore ), .rstc405resetsys ( rstc405resetsys ), .c405rstchipresetreq ( c405rstchipresetreq ), .c405rstcoreresetreq ( c405rstcoreresetreq ), .c405rstsysresetreq ( c405rstsysresetreq ), // processor local bus clock .plbclk ( plbclk ), // instruction-side processor local bus interface .plbc405icuaddrack ( plbc405icuaddrack ), .plbc405icubusy ( plbc405icubusy ), .plbc405icuerr ( plbc405icuerr ), .plbc405icurddack ( plbc405icurddack ), .plbc405icurddbus ( plbc405icurddbus ), .plbc405icurdwdaddr ( plbc405icurdwdaddr ), .plbc405icussize1 ( plbc405icussize1 ), .c405plbicuabort ( c405plbicuabort ), .c405plbicuabus ( c405plbicuabus ), .c405plbicucacheable ( c405plbicucacheable ), .c405plbicupriority ( c405plbicupriority ), .c405plbicurequest ( c405plbicurequest ), .c405plbicusize ( c405plbicusize ), .c405plbicuu0attr ( c405plbicuu0attr ), // data-side processor local bus interface .plbc405dcuaddrack ( plbc405dcuaddrack ), .plbc405dcubusy ( plbc405dcubusy ), .plbc405dcuerr ( plbc405dcuerr ), .plbc405dcurddack ( plbc405dcurddack ), .plbc405dcurddbus ( plbc405dcurddbus ), .plbc405dcurdwdaddr ( plbc405dcurdwdaddr ), .plbc405dcussize1 ( plbc405dcussize1 ), .plbc405dcuwrdack ( plbc405dcuwrdack ), .c405plbdcuabort ( c405plbdcuabort ), .c405plbdcuabus ( c405plbdcuabus ), .c405plbdcube ( c405plbdcube ), .c405plbdcucacheable ( c405plbdcucacheable ), .c405plbdcuguarded ( c405plbdcuguarded ), .c405plbdcupriority ( c405plbdcupriority ), .c405plbdcurequest ( c405plbdcurequest ), .c405plbdcurnw ( c405plbdcurnw ), .c405plbdcusize2 ( c405plbdcusize2 ), .c405plbdcuu0attr ( c405plbdcuu0attr ), .c405plbdcuwrdbus ( c405plbdcuwrdbus ), .c405plbdcuwritethru ( c405plbdcuwritethru ),
196 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r // device control register interface .dcrc405ack ( dcrc405ack ), .dcrc405dbusin ( dcrc405dbusin ), .c405dcrabus ( c405dcrabus ), .c405dcrdbusout ( c405dcrdbusout ), .c405dcrread ( c405dcrread ), .c405dcrwrite ( c405dcrwrite ), // external interrupt controller interface .eicc405critinputirq ( eicc405critinputirq ), .eicc405extinputirq ( eicc405extinputirq ), // on-chip memory controller interface .bramdsocmclk ( bramdsocmclk ), .bramdsocmrddbus ( bramdsocmrddbus ), .bramisocmclk ( bramisocmclk ), .bramisocmrddbus ( bramisocmrddbus ), .dsocmbramabus ( dsocmbramabus ), .dsocmbrambytewrite ( dsocmbrambytewrite ), .dsocmbramen ( dsocmbramen ), .dsocmbramwrdbus ( dsocmbramwrdbus ), .dsocmbusy ( dsocmbusy ), .isocmbramen ( isocmbramen ), .isocmbramevenwriteen ( isocmbramevenwriteen ), .isocmbramoddwriteen ( isocmbramoddwriteen ), .isocmbramrdabus ( isocmbramrdabus ), .isocmbramwrabus ( isocmbramwrabus ), .isocmbramwrdbus ( isocmbramwrdbus ), .dsarcvalue ( dsarcvalue ), .dscntlvalue ( dscntlvalue ), .isarcvalue ( isarcvalue ), .iscntlvalue ( iscntlvalue ), .tiedsocmdcraddr ( tiedsocmdcraddr ), .tieisocmdcraddr ( tieisocmdcraddr ), // jtag interface .jtgc405bndscantdo ( jtgc405bndscantdo ), .jtgc405tck ( jtgc405tck ), .jtgc405tdi ( jtgc405tdi ), .jtgc405tms ( jtgc405tms ), .jtgc405trstneg ( jtgc405trstneg ), .c405jtgcapturedr ( c405jtgcapturedr ), .c405jtgextest ( c405jtgextest ), .c405jtgpgmout ( c405jtgpgmout ), .c405jtgshiftdr ( c405jtgshiftdr ), .c405jtgtdo ( c405jtgtdo ), .c405jtgtdoen ( c405jtgtdoen ), .c405jtgupdatedr ( c405jtgupdatedr ), // debug interface .dbgc405debughalt ( dbgc405debughalt ), .dbgc405extbusholdack ( dbgc405extbusholdack ), .dbgc405unconddebugevent ( dbgc405unconddebugevent ), .c405dbgmsrwe ( c405dbgmsrwe ), .c405dbgstopack ( c405dbgstopack ), .c405dbgwbcomplete ( c405dbgwbcomplete ), .c405dbgwbfull ( c405dbgwbfull ), .c405dbgwbiar ( c405dbgwbiar ), // trace interface .trcc405tracedisable ( trcc405tracedisable ),
ug012 (v1.0) january 31, 2002 www.xilinx.com 197 virtex-ii pro platform fpga handbook 1-800-255-7778 processor block r .trcc405triggereventin ( trcc405triggereventin ), .c405trccycle ( c405trccycle ), .c405trcevenexecutionstatus ( c405trcevenexecutionstatus ), .c405trcoddexecutionstatus ( c405trcoddexecutionstatus ), .c405trctracestatus ( c405trctracestatus ), .c405trctriggereventout ( c405trctriggereventout ), .c405trctriggereventtype ( c405trctriggereventtype ), // special interface .mcbcpuclken ( mcbcpuclken ), .mcbjtagen ( mcbjtagen ), .mcbtimeren ( mcbtimeren ), .mcppcrst ( mcppcrst ) ); // ocm attribute signals wire [0:7] tiedsocmdcraddr; wire [0:7] tieisocmdcraddr; wire [0:7] dsarcvalue; wire [0:7] dscntlvalue; wire [0:7] isarcvalue; wire [0:7] iscntlvalue; /* ---------------------------------------------------------------- top 8 bits of dcr address space for dsocm dcr registers. the dcr address space is 10 bits wide. the two least significant bits are predefined in dsocm controller. for example, if tiedsocmdcraddr = 00 0001 11 then, address of dsarc = 00 0001 1110 = 0x01e address of dscntl= 00 0001 1111 = 0x01f -------------------------------------------------------------------*/ assign dsarcvalue = < user_defined_value >; assign dscntlvalue = < user_defined_value >; assign tiedsocmdcraddr = < user_defined_value >; /*----------------------------------------------------------------- top 8 bits of dcr address space for isocm dcr registers. the dcr address space is 10 bits wide. the two least significant bits are predefined in isocm controller. for example, if tieisocmdcraddr = 00 0010 11 then, address of isinit = 00 0010 1100 = 0x02c address of isfill = 00 0010 1101 = 0x02d address of isarc = 00 0010 1110 = 0x02e address of iscntl = 00 0010 1111 = 0x02f --------------------------------------------------------------------*/ assign isarcvalue = 8 ? hff; assign iscntlvalue = 8 ? hff; assign tieisocmdcraddr = 8 ? b00_0010_11; endmodule //-------------------------------------------------------- // processor block module declaration // this declaration can be omitted if not using synplicity //-------------------------------------------------------- module ppc405 ( bramdsocmclk, bramdsocmrddbus, bramisocmclk,
198 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r bramisocmrddbus, c405cpmcoresleepreq, c405cpmmsrce, c405cpmmsree, c405cpmtimerirq, c405cpmtimerresetreq, c405dbgmsrwe, c405dbgstopack, c405dcrabus, c405dcrdbusout, c405dbgwbiar, c405dbgwbcomplete, c405dbgwbfull, c405dcrread, c405dcrwrite, c405jtgcapturedr, c405jtgextest, c405jtgpgmout, c405jtgshiftdr, c405jtgtdo, c405jtgtdoen, c405jtgupdatedr, c405plbdcuabort, c405plbdcuabus, c405plbdcube, c405plbdcucacheable, c405plbdcuguarded, c405plbdcupriority, c405plbdcurequest, c405plbdcurnw, c405plbdcusize2, c405plbdcuu0attr, c405plbdcuwrdbus, c405plbdcuwritethru, c405plbicuabort, c405plbicuabus, c405plbicucacheable, c405plbicupriority, c405plbicurequest, c405plbicusize, c405plbicuu0attr, c405rstchipresetreq, c405rstcoreresetreq, c405rstsysresetreq, c405trccycle, c405trcevenexecutionstatus, c405trctracestatus, c405trctriggereventout, c405trctriggereventtype, c405trcoddexecutionstatus, c405xxxmachinecheck, cpmc405clock, cpmc405coreclkinactive, cpmc405cpuclken, cpmc405jtagclken, cpmc405timerclken, cpmc405timertick, dbgc405debughalt, dbgc405extbusholdack, dbgc405unconddebugevent, dcrc405ack, dcrc405dbusin,
ug012 (v1.0) january 31, 2002 www.xilinx.com 199 virtex-ii pro platform fpga handbook 1-800-255-7778 processor block r dsarcvalue, dscntlvalue, dsocmbramabus, dsocmbrambytewrite, dsocmbramen, dsocmbramwrdbus, dsocmbusy, eicc405critinputirq, eicc405extinputirq, isarcvalue, iscntlvalue, isocmbramen, isocmbramevenwriteen, isocmbramoddwriteen, isocmbramrdabus, isocmbramwrabus, isocmbramwrdbus, jtgc405bndscantdo, jtgc405tck, jtgc405tdi, jtgc405tms, jtgc405trstneg, mcbcpuclken, mcbjtagen, mcbtimeren, mcppcrst, plbc405dcuaddrack, plbc405dcubusy, plbc405dcuerr, plbc405dcurddack, plbc405dcurddbus, plbc405dcurdwdaddr, plbc405dcussize1, plbc405dcuwrdack, plbc405icuaddrack, plbc405icubusy, plbc405icuerr, plbc405icurddack, plbc405icurddbus, plbc405icurdwdaddr, plbc405icussize1, plbclk, rstc405resetchip, rstc405resetcore, rstc405resetsys, tiec405deterministicmult, tiec405disoperandfwd, tiec405mmuen, trcc405tracedisable, trcc405triggereventin, tiedsocmdcraddr, tieisocmdcraddr ); // synthesis syn_black_box // above synplicity synthesis directive is needed for black box // instantiation // port declarations // see powerpc 405 processor block manual for detailed signal // descriptions.
200 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r // clock and power management interface input cpmc405clock; input cpmc405coreclkinactive; input cpmc405cpuclken; input cpmc405jtagclken; input cpmc405timerclken; input cpmc405timertick; output c405cpmcoresleepreq; output c405cpmmsrce; output c405cpmmsree; output c405cpmtimerirq; output c405cpmtimerresetreq; // processor local bus clock input plbclk; // instruction cache unit interface input plbc405icuaddrack; input plbc405icubusy; input plbc405icuerr; input plbc405icurddack; input [0:63] plbc405icurddbus; input [1:3] plbc405icurdwdaddr; input plbc405icussize1; output c405plbicuabort; output [0:29] c405plbicuabus; output c405plbicucacheable; output [0:1] c405plbicupriority; output c405plbicurequest; output [2:3] c405plbicusize; output c405plbicuu0attr; // data cache unit interface input plbc405dcuaddrack; input plbc405dcubusy; input plbc405dcuerr; input plbc405dcurddack; input [0:63] plbc405dcurddbus; input [1:3] plbc405dcurdwdaddr; input plbc405dcussize1; input plbc405dcuwrdack; output c405plbdcuabort; output [0:31] c405plbdcuabus; output [0:7] c405plbdcube; output c405plbdcucacheable; output c405plbdcuguarded; output [0:1] c405plbdcupriority; output c405plbdcurequest; output c405plbdcurnw; output c405plbdcusize2; output c405plbdcuu0attr; output [0:63] c405plbdcuwrdbus; output c405plbdcuwritethru; // device control register interface input dcrc405ack; input [0:31] dcrc405dbusin; output [0:9] c405dcrabus; output [0:31] c405dcrdbusout; output c405dcrread; output c405dcrwrite;
ug012 (v1.0) january 31, 2002 www.xilinx.com 201 virtex-ii pro platform fpga handbook 1-800-255-7778 processor block r // on-chip memory controller interface input bramdsocmclk; input [0:31] bramdsocmrddbus; input bramisocmclk; input [0:63] bramisocmrddbus; output [8:29] dsocmbramabus; output [0:3] dsocmbrambytewrite; output dsocmbramen; output [0:31] dsocmbramwrdbus; output dsocmbusy; output isocmbramen; output isocmbramevenwriteen; output isocmbramoddwriteen; output [8:28] isocmbramrdabus; output [8:28] isocmbramwrabus; output [0:31] isocmbramwrdbus; input [0:7] dsarcvalue; input [0:7] dscntlvalue; input [0:7] isarcvalue; input [0:7] iscntlvalue; input [0:7] tiedsocmdcraddr; input [0:7] tieisocmdcraddr; // debug interface input dbgc405debughalt; input dbgc405extbusholdack; input dbgc405unconddebugevent; output c405dbgmsrwe; output c405dbgstopack; output c405dbgwbcomplete; output c405dbgwbfull; output [0:29] c405dbgwbiar; // jtag interface input jtgc405bndscantdo; input jtgc405tck; input jtgc405tdi; input jtgc405tms; input jtgc405trstneg; output c405jtgcapturedr; output c405jtgextest; output c405jtgpgmout; output c405jtgshiftdr; output c405jtgtdo; output c405jtgtdoen; output c405jtgupdatedr; // trace interface input trcc405tracedisable; input trcc405triggereventin; output c405trccycle; output [0:1] c405trcevenexecutionstatus; output [0:1] c405trcoddexecutionstatus; output [0:3] c405trctracestatus; output c405trctriggereventout; output [0:10] c405trctriggereventtype; // reset interface input rstc405resetchip; input rstc405resetcore; input rstc405resetsys;
202 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r output c405rstchipresetreq; output c405rstcoreresetreq; output c405rstsysresetreq; // interrupt interface input eicc405critinputirq; input eicc405extinputirq; // cpu control interface input tiec405deterministicmult; input tiec405disoperandfwd; input tiec405mmuen; output c405xxxmachinecheck; // special interface input mcbcpuclken; input mcbjtagen; input mcbtimeren; input mcppcrst; endmodule global clock networks introduction virtex-ii pro devices support very high frequency designs and thus require low-skew advanced clock distribution. with device density up to 10 million system gates, numerous global clocks are necessary in most designs. therefore, to provide a uniform and portable solution (soft-ip), all virtex-ii pro devices from xc2vp2 to xc2vp50 have 16 global clock buffers and support 16 global clock domains. up to eight of these clocks can be used in any quadrant of the device by the synchronous logic elements (that is, registers, 18kb block ram, pipeline multipliers) and the iobs. the software tools place and route these global clocks automatically. if the design uses between 8 and 16 clocks, it must be partitioned into quadrants, with up to 8 clocks per quadrant. if more than 16 clocks are required, the backbone (24 horizontal and vertical long lines routing resources) can be used as additional clock network. in addition to clock distribution, the 16 clock buffers are also ? glitch-free ? synchronous 2:1 multiplexers. these multiplexers are capable of switching between two asynchronous (or synchronous) clocks at any time. no particular phase relations between the two clocks are needed. the clock multiplexers can also be configured as a global clock buffer with a clock enable. the clock can be stopped high or low at the clock buffer output. clock distribution resources the various resources available to manage and distribute the clocks include:  sixteen clock pads that can be used as regular user i/os if not used as clock inputs. the sixteen clock pads can be configured for any i/o standard, including differential standards (for example, lvds).  sixteen ? ibufg ? elements that represent the clock inputs in a vhdl or verilog design.  eight ? ibufgds ? elements (that is, attributes lvds_25, ldt_25, or ulvds_25) that represent the differential clock input pairs in a vhdl or verilog design. each ibufgds replaces two ibufg elements.  four to eight digital clock managers (dcms), depending on the device size, to de-
ug012 (v1.0) january 31, 2002 www.xilinx.com 203 virtex-ii pro platform fpga handbook 1-800-255-7778 global clock networks r skew and generate the clocks. for more information on dcms, see digital clock managers (dcms) , page 222 .  sixteen ? bufgmux ? elements that can consist of up to sixteen global clock buffers (bufg), global clock buffers with a clock enable (bufgce), or global clock multiplexers (bufgmux). figure 2-2 illustrates the placement of these clock resources in virtex-ii pro devices (the xc2vp20 through the xc2vp50) that have eight dcms. the simple scheme to distribute an external clock in the device is to implement a clock pad with an ibufg input buffer connected to a bufg global buffer, as shown in figure 2-3 and figure 2-4, page 204 . the primary (gclkp) and secondary (gclks) clock pads have no relationship with the p-side and n-side of differential clock inputs. in banks 0 and 1, the gclkp corresponds to the n-side, and the gclks corresponds to the p-side of a differential clock input. in banks 4 and 5, this correspondence is reversed. figure 2-2: clock resources in virtex-ii pro devices clb user i/os ibufg 8 bufgmux dcm 16 clock domains ug002_c2_092_120100 dcm bram multiplier bram multiplier ibufg clb dcm dcm bram multiplier bram multiplier user i/os 8 gclk pads user i/os user i/os clb dcm dcm bram multiplier bram multiplier clb dcm dcm bram multiplier bram multiplier ibufg ibufg 8 bufgmux 8 gclk pads
204 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r . major synthesis tools automatically infer the ibufg and bufg when the corresponding input signal is used as a clock in the vhdl or verilog code. a high frequency or adapted (frequency, phase, and so forth) clock distribution with low skew is implemented by using a dcm between the output of the ibufg and the input of the bufg, as shown in figure 2-5 . digital clock managers (dcms) , page 222 provides details about dcms and their use. clock distribution from internal sources is also possible with a bufg only or with a dcm, as shown in figure 2-6, page 205 . figure 2-3: simple clock distribution (bank 0 and 1 scheme) figure 2-4: simple clock distribution (bank 0 and 1 scheme) figure 2-5: clock distribution with dcm p i ib o i o n gclkp clock distribution differential clock input gclks ibufgds bufg i o i o pa d gclk clock distribution clock input ibufg bufg ug002_c2_084_080601 (bank 4 and 5 scheme) p i ib o i o n gclks clock distribution differential clock input gclkp ibufgds bufg i o i o pa d gclk clock distribution clock input ibufg bufg ug002_c2_105_080601 (bank 0 and 1 scheme) i o i o gclk clock distribution ibufg bufg ug002_c2_085_120200 pad dcm clkin clx0 clkfb
ug012 (v1.0) january 31, 2002 www.xilinx.com 205 virtex-ii pro platform fpga handbook 1-800-255-7778 global clock networks r global clock inputs the clock buffer inputs are fed either by one of the 16 clock pads (refer to the virtex-ii pro data sheet ), by the outputs of the dcm, or by local interconnect. each clock buffer can be a synchronous ? glitch-free ? 2:1 multiplexer with two clock inputs and one select input. internal logic (or alternatively a regular iob) can feed the clock inputs. any internal or external signal can drive the select input or clock enable input. the possible inputs driving a global clock buffer or multiplexer are summarized in ta ble 2 -1 0 . all bufg (bufgce, bufgmux) outputs are available at the quadrant boundaries. the output of the global clock buffer can be routed to non-clock pins. figure 2-6: internal logic driving clock distribution i o clock distribution bufg i o clock distribution bufg ug002_c2_086_120200 dcm clkin clxo clkfb logic logic table 2-10: inputs driving global clock buffers or dcms source destination bufg(i) or bufgce(i) bufgce (ce) bufgmux (i0 or i1) bufgmux (s) dcm (clkin) external clock via ibufg(o) dedicated in same quadrant 1 na dedicated in same quadrant 1 na same edge dcm clock outputs same edge (top or bottom) 2 na same edge (top or bottom) 2 na general interconnect 3 internal logic general interconnect general interconnect general interconnect general interconnect general interconnect 3 user i/o pad via ibuf(o) (not ibufg) general interconnect general interconnect general interconnect general interconnect general interconnect 3 bufg(o) na na na na global clock net bufgmux(o) na na general interconnect na global clock net notes: 1. not all ibufgs in the quadrant have a dedicated connection to a specific bufg. others would require general interconnect to be hooked up. 2. same edge (top or bottom) enables use of dedicated routing resources. 3. pad to dcm input skew is not compensated.
206 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r primary and secondary global multiplexers each global clock buffer is a self-synchronizing circuit called a clock multiplexer. the 16 global clock buffers or multiplexers are divided as follows:  eight primary clock multiplexers  eight secondary clock multiplexers no hardware difference exists between a primary and a secondary clock multiplexer. however, some restrictions apply to primary/secondary multiplexers, because they share input connections, as well as access to a quadrant. each virtex-ii pro device is divided into four quadrants: north-west, south-west, north- east, and south-east. each quadrant has two primary and two secondary clock multiplexers. the clock multiplexers are indexed 0 to 7, with one primary and one secondary for each index, alternating on the top and on the bottom (i.e., clock multiplexer ? 0p ? at the bottom is facing clock multiplexer ? 0s ? at the top). in each device, the eight top/bottom clock multiplexers are divided into four primary and four secondary, indexed 0 to 7, as shown in figure 2-7 . figure 2-7: primary and secondary clock multiplexer locations bufgmux bufgmux ug002_c2_087_113000 7p 6s 5p 4s 3p 2s 1p 0s 7s 6p 5s 4p 3s 2p 1s 0p nw ne sw se
ug012 (v1.0) january 31, 2002 www.xilinx.com 207 virtex-ii pro platform fpga handbook 1-800-255-7778 global clock networks r primary/secondary: rule 1 considering two ? facing ? clock multiplexers (bufg#p and bufg#s), one or the other of these clock outputs can enter any quadrant of the chip to drive a clock within that quadrant, as shown in figure 2-8 . note that the clock multiplexers ? xp ? and ? xs ? compete for quadrant access. for example, bufg0p output cannot be used in the same quadrant as bufg0s. figure 2-8: facing bufg#p and bufg#s connections ug002_c2_088_113000 1p 0s 1s 0p nw ne sw se
208 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r primary/secondary: rule 2 in a bufgce or bufgmux configuration, shared inputs have to be considered. any two adjacent clock multiplexers share two inputs, as shown in figure 2-9 . the clock multiplexer ? 1p ? and ? 0s ? have common i0/i1 and i1/i0 inputs. ta ble 2 -11 lists the clock multiplexer pairs in any virtex-ii pro device. the primary multiplexer inputs i1/i0 are common with the corresponding secondary multiplexer inputs i0/i1 (i.e., primary i1 input is common with secondary i0 input, and primary i0 input is common with secondary i1 input). figure 2-9: clock multiplexer pair sharing clock multiplexer inputs table 2-11: top clock multiplexer pairs primary i1/i0 1p 3p 5p 7p secondary i0/i1 0s2s4s6s table 2-12: bottom clock multiplexer pairs primary i1/i0 0p 2p 4p 6p secondary i0/i1 1s3s5s7s ug002_c2_089_113000 1p 0s i1 i1 i0 i0 bufgmux bufgmux oo ss
ug012 (v1.0) january 31, 2002 www.xilinx.com 209 virtex-ii pro platform fpga handbook 1-800-255-7778 global clock networks r primary/secondary usage for up to eight global clocks, it is safe to use the eight primary global multiplexers (1p, 3p, 5p, 7p on the top and 0p, 2p, 4p, 6p on the bottom). because of the shared inputs, a maximum of eight independent global clock multiplexers can be used in a design, as shown in figure 2-10 . dcm clocks the four clock pins (ibufg) in a quadrant can feed all dcms in the same edge of the device. the clock-to-out and setup times are identical for all dcms. up to four clock outputs per dcm can be used to drive any clock multiplexer on the same edge (top or bottom), as shown in figure 2-11 . bufg exclusivity each dcm has a restriction on the number of bufgs it can drive on its (top or bottom) edge. pairs of buffers with shared dedicated routing resources exist such that only one buffer from each dedicated pair can be driven by a single dcm. the exclusive pairs for each edge are: 1:5, 2:6, 3:7, and 4:8. figure 2-10: eight global clocks design ibufg/ibufgds ibufg/ibufgds ug002_c2_090_113000 7p 5p 3p 1p 6p 4p 2p 0p nw ne sw se 8 clocks 8 clocks 8 clocks 8 clocks 8 clocks gclk7p gclk5p gclk3p gclk1p gclk6p gclk4p gclk2p gclk0p 8 8 8 8
210 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r clock output the clock distribution is based on eight clock trees per quadrant. each clock multiplexer output is driving one global clock net. the virtex-ii pro device has eight dedicated low- skew clock nets. the device is divided into four quadrants (nw, ne, sw and se) with eight global clocks available per quadrant. eight clock buffers are in the middle of the top edge and eight are in the middle of the bottom edge. any of these 16 clock buffer outputs can be used in any quadrant, up to a maximum of eight clocks per quadrant, as illustrated in figure 2-12 , provided there is not a primary vs. secondary conflict. figure 2-11: dcm clocks ibufg bufgmux ug002_c2_091_080601 7p 6s 5p 4s 3p 2s 1p 0s dcm dcm dcm gclk7p gclk5p gclk3p gclk1p gclk6s gclk4s gclk2s gclk0s ibufg bufgmux 8 444 dcm dcm dcm 8 444 figure 2-12: clock buffer outputs per quadrant 8 8 8 8 nw nw ne sw se ne sw se ds031_45_120200 8 bufgmux 8 bufgmux 8 max 8 bufgmux 8 bufgmux 16 clocks 16 clocks
ug012 (v1.0) january 31, 2002 www.xilinx.com 211 virtex-ii pro platform fpga handbook 1-800-255-7778 global clock networks r designs with more than eight clocks must be floorplanned manually or automatically, distributing the clocks in each quadrant. as an example, a design with 16 clocks can be floorplanned as shown in figure 2-13 . the clock nets and clock buffers in this example are associated as shown in table 2-13 . figure 2-13: 16-clock floorplan table 2-13: clock net association with clock buffers clock net (top edge) clk_a clk_b clk_c clk_d clk_e clk_f clk_g clk_h bufg 7p 6s 5p 4s 3p 2s 1p 0s clock net (bottom edge) clk_i clk_j clk_k clk_l clk_m clk_n clk_o clk_p bufg 7s 6p 5s 4p 3s 2p 1s 0p quadrant nw clk_a clk_b clk_c ?? clk_f ? clk_p quadrant sw clk_a ? clk_c clk_l clk_m clk_n clk_g clk_h quadrant ne clk_i clk_b clk_k clk_d clk_e clk_n clk_o clk_h quadrant se clk_a clk_j ????? clk_p a clk_ b c d e f g h i clk_ jklmno p clk_i clk_n clk_b clk_e clk_k clk_d clk_o clk_h clk_a clk_h clk_m clk_c clk_l clk_n clk_g clk_c clk_f clk_p clk_b clk_a clk_p clk_j clk_a 8 clocks 5 clocks 3 clocks 7 clocks nw ne se sw 16 clocks ug002_c2_079_120200 5p 2s 7p 6s 0p 5s 4s 1s 2p 6s 3p 0s 7s 7p 6p 0p 5p 1p 7p 0s 3s 2p 4p
212 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r clk_a is used in three quadrants, and the other clocks are used in one or two quadrants, regardless of the position of the clock buffers (multiplexers), as long as they are not competing to access the same quadrant. (that is, clk_a (bufg7p) cannot be used in the same quadrant with clk_i (bufg7s). refer to primary/secondary: rule 1 , page 207 .) in other words, two buffers with the same index (0 to 7) cannot be used in the same quadrant. each register, block ram, registered multiplier, or ddr register (iob) can be connected to any of the eight clock nets available in a particular quadrant. note that if a global clock (primary buffer) is used in four quadrants, the corresponding secondary buffer is not available. power consumption clock trees have been designed for low skew and low-power operation. any unused branch is disconnected, as shown in figure 2-14 . also available to reduce overall power consumption are the bufgce feature, for dynamically driving a clock tree only when the corresponding module is used, and the bufgmux feature, for switching from a high-frequency clock to a low-frequency clock. the frequency synthesizer capability of the dcm can generate the low (or high) frequency clock from a single source clock, as illustrated in figure 2-15 . (see digital clock managers (dcms) , page 222 ). figure 2-14: low-power clock network figure 2-15: dynamic power reduction scheme 2 6 4 1 nw sw se ug002_c2_093_113000 8 bufgmux 8 bufgmux 16 clocks 6 22 22 i 1 i 0 clkin clock tree clk0 dcm bufgmux ug002_c2_094_121101 ( 10) clkdv 250 mhz 25 mhz 250 mhz s clkfb bufg
ug012 (v1.0) january 31, 2002 www.xilinx.com 213 virtex-ii pro platform fpga handbook 1-800-255-7778 global clock networks r library primitives and submodules the primitives in ta ble 2 -1 4 are available with the input, output, and control pins listed. refer to single-ended selecti/o resources , page 303 for a list of the attributes available for ibufg and refer to lvds i/o , page 363 for a list of the attributes available for ibufgds. the submodules in table 2-15 are available with the input, output, and control pins listed. primitive functions ibufg ibufg is an input clock buffer with one clock input and one clock output. ibufgds ibufgds is a differential input clock buffer with two clock inputs (positive and negative polarity) and one clock output. bufg all virtex-ii pro devices have 16 global clock buffers (each of which can be used as bufg, bufgmux, or bufgce). bufg is a global clock buffer with one clock input and one clock output, driving a low- skew clock distribution network. the output follows the input, as shown in figure 2-16 . bugmux and bufgmux_1 bufgmux (see figure 2-17 ) can switch between two unrelated, even asynchronous clocks. basically, a low on s selects the i 0 input, a high on s selects the i 1 input. switching from one clock to the other is done in such a way that the output high and low time is never shorter than the shortest high or low time of either input clock. as long as the presently selected clock is high, any level change of s has no effect . table 2-14: clock primitives primitive input output control ibufg i o ? ibufgds i, ib o ? bufg i o ? bufgmux i0, i1 o s bufgmux_1 i0, i1 o s table 2-15: clock submodules submodule input output control bufgce i o ce bufgce_1 i o ce figure 2-16: bufg waveforms bufg(i) bufg(o) ug002_c2_099_120100
214 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r bufgmux is the preferred circuit for rising edge clocks, while bufgmux_1 is preferred for falling edge clocks. operation of the bufgmux circuit if the presently selected clock is low while s changes, or if it goes low after s has changed, the output is kept low until the other ("to-be-selected") clock has made a transition from high to low. at that instant, the new clock starts driving the output. the two clock inputs can be asynchronous with regard to each other, and the s input can change at any time, except for a short setup time prior to the rising edge of the presently selected clock; that is, prior to the rising edge of the bufgmux output o. violating this setup time requirement can result in an undefined runt pulse output. figure 2-18 shows a switchover from clk0 to clk1.  the current clock is clk0.  s is activated high.  if clk0 is currently high, the multiplexer waits for clk0 to go low.  once clk0 is low, the multiplexer output stays low until clk1 transitions high to low.  when clk1 transitions from high to low, the output switches to clk1.  no glitches or short pulses can appear on the output. operation of the bufgmux_1 circuit if the presently selected clock is high while s changes, or if it goes high after s has changed, the output is kept high until the other ("to-be-selected") clock has made a transition from low to high. at that instant, the new clock starts driving the output. the two clock inputs can be asynchronous with regard to each other, and the s input can change at any time, except for a short setup time prior to the falling edge of the presently selected clock; that is, prior to the falling edge of the bufgmux output o. violating this setup time requirement can result in an undefined runt pulse output. figure 2-17: virtex-ii pro bufgmux or bufgmux_1 function figure 2-18: bufgmux waveform diagram o i 0 i 1 s bufgmux ds083-2_63_121701 s clk0 clk1 out wait for low switch ds083-2_46_121701
ug012 (v1.0) january 31, 2002 www.xilinx.com 215 virtex-ii pro platform fpga handbook 1-800-255-7778 global clock networks r figure 2-19 shows a switchover from clk0 to clk1.  the current clock is clk0.  s is activated high.  if clk0 is currently low, the multiplexer waits for clk0 to go high.  once clk0 is high, the multiplexer output stays high until clk1 transitions low to high.  when clk1 transitions from low to high, the output switches to clk1.  no glitches or short pulses can appear on the output. submodules bufgce and bufgce_1 bufgce and bufgce_1 are submodules based on bufgmux and bufgmux_1, respectively. bufgce and bufgce_1 are global clock buffers incorporating a smart enable function that avoids output glitches or runt pulses. the select signal must meet the setup time for the clock. bufgce is the preferred circuit for clocking on the rising edge, while bufgce_1 is preferred when clocking on the falling edge. operation of the bufgce circuit if the ce input (see figure 2-20 ) is active (high) prior to the incoming rising clock edge, this low-to-high-to-low clock pulse passes through the clock buffer. any level change of ce during the incoming clock high time has no effect. if the ce input is inactive (low) prior to the incoming rising clock edge, the following clock pulse does not pass through the clock buffer, and the output stays low. any level change of ce during the incoming clock high time has no effect. ce must not change during a short setup window just prior to the rising clock edge on the bufgce input i. violating this setup time requirement can result in an undefined runt pulse output. figure 2-19: bufgmux_1 waveform diagram s clk0 clk1 out wait for high ds083-2_46a_121701 figure 2-20: virtex-ii pro bufgce or bufgce_1 function o i ce bufgce ds031_62_101200
216 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r this means the output stays low when the clock is disabled, but it completes the clock- high pulse when the clock is being disabled, as shown in figure 2-21 . operation of the bufgce_1 circuit if the ce input is active (high) prior to the incoming falling clock edge, this high-to-low- to-high clock pulse passes through the clock buffer. any level change of ce during the incoming clock low time has no effect. if the ce input is inactive (low) prior to the incoming falling clock edge, the following clock pulse does not pass through the clock buffer, and the output stays high. any level change of ce during the incoming clock low time has no effect. ce must not change during a short setup window just prior to the falling clock edge on the bufgce input i. violating this setup time requirement can result in an undefined runt pulse output. this means the output stays high when the clock is disabled, but it completes the clock- low pulse when the clock is being disabled, as shown in figure 2-22 . when bufgce (or bufgce_1) is used with dcm outputs, a second bufg can be used for clock feedback. buffer sharing the inputs with bufgce is the preferred solution. summary ta ble 2 -1 6 shows the maximum resources available per virtex-ii pro device. characteristics the following are characteristics of global clocks in virtex-ii pro devices:  low-skew clock distribution.  synchronous ? glitch-free ? multiplexer that avoids runt pulses. switching between two asynchronous clock sources is usually considered unsafe, but it is safe with the virtex-ii pro global clock multiplexer. figure 2-21: bufgce waveforms figure 2-22: bufgce_1 waveforms bufgce(i) bufgce(ce) bufgce(o) ug002_c2_100_120100 wait low clock stops low bufgce_1(i) bufgce_1(ce) bufgce_1(o) ug002_c2_101_120100 switch high clock stops high table 2-16: resources per virtex-ii pro device (from xc2vp2 to xc2vp50) resource maximum number single-ended ibufg (pads) 16 differential ibufgds (pairs) 8 bufg (global clock buffer) 16 bufgce (or bufgce_1) 8 bufgmux (or bufgmux_1) 8
ug012 (v1.0) january 31, 2002 www.xilinx.com 217 virtex-ii pro platform fpga handbook 1-800-255-7778 global clock networks r  any level change on s must meet a setup time requirement with respect to the signal on the output o (rising edge for bufgmux, falling edge for bufgmux_1). any level change on ce must meet a setup time requirement with respect to the signal on the input i (rising edge for bufgce, falling edge for bufgce_1).  two bufgmux (or bufgmux_1) resources can be cascaded to create a 3 to 1 clock multiplexer. location constraints bufgmux and bufgmux_1 (primitives) and ibufg (ibufgds) instances can have loc properties attached to them to constrain placement. the loc properties use the following form to constrain a clock net: net ? clock_name ? loc= ? bufgmux#p/s ? ; each clock pad (or ibufg) has a direct connection with a specific global clock multiplexer (input i0). a placement that does not conform to this rule causes the software to send a warning. if the clock pad (or ibufg) has loc properties attached, the dcm allows place and route software maximum flexibility, as compared to a direct connection to the global clock buffer (bufg). secondary clock network if more clocks are required, the 24 horizontal and vertical long lines in virtex-ii pro devices can be used to route additional clock nets. skew is minimized by the place and route software, if the uselowskewlines constraint is attached to the net. vhdl and verilog instantiation vhdl and verilog instantiation templates are available as examples ( see "the following are templates for primitives:" on page 217 ) for all primitives and submodules. in vhdl, each template has a component declaration section and an architecture section. each part of the template should be inserted within the vhdl design file. the port map of the architecture section should include the design signal names. the following are templates for primitives:  bufgmux_inst  bufgmux_1_inst the following are templates for submodules:  bufgce_subm  bufgce_1_subm as examples, the bufgmux_inst.vhd, bufgmux_1_inst.vhd, bufgce_subm.vhd, and bufgce_1_subm.vhd vhdl templates are shown. in addition, the bufgmux_inst.v, bufgmux_1_inst.v, bufgce_1_subm.v, and bufgce_subm.v verilog templates are shown. vhdl template -- module: bufgmux_inst -- description: vhdl instantiation template -- global clock multiplexer (switch low) -- device: virtex-ii pro family --------------------------------------------------------------------- -- component declarations: -- component bufgmux port (
218 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r i0 : in std_logic; i1 : in std_logic; s : in std_logic; o : out std_logic ); end component; -- -- architecture section: -- -- global clock buffer instantiation u_bufgmux: bufgmux port map ( i0 => , -- insert clock input used when select (s) is low i1 => , -- insert clock input used when select (s) is high s => , -- insert mux-select input o => -- insert clock output ); -- --------------------------------------------------------------------- -- module: bufgmux_1_inst -- description: vhdl instantiation template -- global clock multiplexer (switch high) -- -- device: virtex-ii pro family --------------------------------------------------------------------- -- component declarations: component bufgmux_1 port ( i0 : in std_logic; i1 : in std_logic; s : in std_logic; o : out std_logic ); end component; -- -- architecture section: -- -- global clock buffer instantiation u_bufgmux_1: bufgmux_1 port map ( i0 => , -- insert clock input used when select (s) is low i1 => , -- insert clock input used when select (s) is high s => , -- insert mux-select input o => -- insert clock output ); -- --------------------------------------------------------------------- -- module: bufgce_subm -- description: vhdl instantiation template -- global clock buffer with clock enable: -- input clock buffer to bufgmux - clock disabled = low -- device: virtex-ii pro family --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- -- pragma translate_off library unisim; use unisim.vcomponents.all; -- pragma translate_on -- entity bufgce_subm is
ug012 (v1.0) january 31, 2002 www.xilinx.com 219 virtex-ii pro platform fpga handbook 1-800-255-7778 global clock networks r port ( i: in std_logic; ce: in std_logic; o: out std_logic ); end bufgce_subm; -- architecture bufgce_subm_arch of bufgce_subm is -- -- component declarations: component bufgmux port ( i0 : in std_logic; i1 : in std_logic; s : in std_logic; o : out std_logic ); end component; -- -- signal declarations signal gnd : std_logic; signal ce_b : std_logic; -- begin gnd <= ? 0 ? ; -- ce_b <= not ce; -- -- global clock buffer instantiation u_bufgmux: bufgmux port map ( i0 => i, i1 => gnd, s => ce_b, o => o ); -- end bufgce_subm_arch; --------------------------------------------------------------------- -- module: bufgce_1_subm -- description: vhdl instantiation template -- global clock buffer with clock enable: -- input clock buffer to bufgmux_1 - clock disabled = high -- device: virtex-ii pro family --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- -- pragma translate_off library unisim; use unisim.vcomponents.all; -- pragma translate_on -- entity bufgce_1_subm is port ( i: in std_logic; ce: in std_logic; o: out std_logic ); end bufgce_1_subm; -- architecture bufgce_1_subm_arch of bufgce_1_subm is
220 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r -- -- component declarations: component bufgmux_1 port ( i0 : in std_logic; i1 : in std_logic; s : in std_logic; o : out std_logic ); end component; -- -- signal declarations signal vcc : std_logic; -- signal ce_b : std_logic; -- begin vcc <= ? 1 ? ; -- ce_b <= not ce; -- -- global clock buffer instantiation u_bufgmux_1: bufgmux_1 port map ( i0 => i, i1 => vcc, s => ce_b, o => o ); -- end bufgce_1_subm_arch; verilog template //------------------------------------------------------------------- // module: bufgmux_inst // description: verilog instantiation template // global clock multiplexer (switch low) // // // device: virtex-ii pro family //------------------------------------------------------------------- // //bufgmux instantiation bufgmux u_bufgmux (.i0(), // insert clock input used when select(s) is low .i1(), // insert clock input used when select(s) is high .s(), // insert mux-select input .o() // insert clock output ); //------------------------------------------------------------------- // module: bufgmux_1_inst // description: verilog instantiation template // global clock multiplexer (switch high) // // // device: virtex-ii pro family //------------------------------------------------------------------- // //bufgmux_1 instantiation bufgmux_1 u_bufgmux_1 (.i0(), // insert clock input used when select(s) is low
ug012 (v1.0) january 31, 2002 www.xilinx.com 221 virtex-ii pro platform fpga handbook 1-800-255-7778 global clock networks r .i1(), // insert clock input used when select(s) is high .s(), // insert mux-select input .o() // insert clock output ); //------------------------------------------------------------------- // module: bufgce_subm // description: verilog submodule // global clock buffer with clock enable: // input clock buffer to bufgmux - clock disabled = low // // device: virtex-ii pro family //------------------------------------------------------------------- module bufgce_subm (i, ce, o); input i, ce; output o; wire gnd; assign gnd = 1 ? b0; bufgmux u_bufgmux (.i0(i), .i1(gnd), .s(~ce), .o(o) ); // endmodule //------------------------------------------------------------------- // module: bufgce_1_subm // description: verilog submodule // global clock buffer with clock enable: // input clock buffer to bufgmux_1 - clock disabled = high // // device: virtex-ii pro family //------------------------------------------------------------------- module bufgce_1_subm (i, ce, o); input i, ce; output o; wire vcc; assign vcc = 1 ? b1; bufgmux_1 u_bufgmux_1 (.i0(i), .i1(vcc), .s(~ce), .o(o) ); // endmodule
222 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r digital clock managers (dcms) overview virtex-ii pro devices have four to eight dcms, and each dcm provides a wide range of powerful clock management features:  clock de-skew : the dcm contains a digitally-controlled feedback circuit (delay- locked loop) that can completely eliminate clock distribution delays. clock de-skew works as follows: the incoming clock drives a long chain of delay elements (individual small buffers). a wide multiplexer selects any one of these buffers as an output. a controller drives the select inputs of this multiplexer. the phase detector in this controller compares the incoming clock signal (clkin) against a feedback input (clkfb), which must be another version of the same clock signal, usually from the far end of the internal clock distribution network (but it can also be from an output pin). the phase detector steers the controller to adjust the tap selection, and thus the through-delay in the dcm, in such a way that the two inputs to the phase comparator coincide.( this is a typical servo loop.) the tap controller adds exactly the right amount of delay to the clock distribution network to give it a total delay of one full clock period. for a repetitive clock signal, this effectively eliminates the clock distribution delay completely.  frequency synthesis : separate outputs provide a doubled frequency (clk2x and clk2x180). another output (clkdv) provides a frequency that is a specified fraction of the input frequency ( 1.5, 2, 2.5, and so forth, up to 15 and 16.) two other outputs (clkfx and clkfx180) provide an output frequency that is derived from the input clock by simultaneous frequency division and multiplication. the user can specify any integer multiplier (m) and divisor (d) within the range specified in the dcm timing parameters section of the virtex-ii pro data sheet . an internal calculator figures out the appropriate tap selection, so that the output edge coincides with the input clock whenever that is mathematically possible. for example, m=9 and d=5, multiply the frequency by 1.8, and the output rising edge is coincident with the input rising edge every 5 input periods = every 9 output periods.  phase shifting : three outputs drive the same frequency as clk0 but are delayed by 1/4, 1/2, and 3/4 of a clock period. an additional control optionally shifts all nine clock outputs by a fixed fraction of the clock period (defined during configuration, and described in multiples of the clock period divided by 256). the user can also dynamically and repetitively move the phase forwards or backwards by one unit of the clock period divided by 256. note that any such phase shift is always invoked as a specific fraction of the clock period, but is always implemented by moving delay taps with a resolution of dcm_tap (see dcm timing parameters in the virtex-ii pro data sheet ).  general control signals : the rst input, when high, resets the entire dcm. the locked output is high when all enabled dcm circuits have locked. the active high status outputs indicate the following: - phase shift overflow (status[0]) - clkin stopped (status[1]) - clkfx stopped (status[2])
ug012 (v1.0) january 31, 2002 www.xilinx.com 223 virtex-ii pro platform fpga handbook 1-800-255-7778 digital clock managers (dcms) r clock de-skew the virtex-ii pro digital clock manager (dcm) offers a fully digital, dedicated on-chip de- skew circuit providing zero propagation delay, low clock skew between output clock signals distributed throughout the device, and advanced clock domain control. these features can be used to implement several circuits that improve and simplify system level design. any four of the nine outputs of the dcm can be used to drive a global clock network. all dcm outputs can drive general interconnect at the same time; for example, dcm output can be used to generate board-level clocks. the well-buffered global clock distribution network minimizes clock skew caused by loading differences. by monitoring a sample of the output clock (clk0 or clk2x), the de-skew circuit compensates for the delay on the routing network, effectively eliminating the delay from the external input port to the individual clock loads within the device. figure 2-23 shows all of the inputs and outputs relevant to the dcm de-skew feature. the de-skew feature can also act as a clock mirror. by driving the clk0 or clk2x output off-chip and then back in again, the de-skew feature can be used to de-skew a board-level clock serving multiple devices. by taking advantage of the de-skew circuit to remove on-chip clock delay, the designer can greatly simplify and improve system level design involving high-fanout, high- performance clocks. operation a de-skew circuit in its simplest form consists of variable delay line and control logic. the delay line produces a delayed version of the input clock (clkin). the clock distribution network routes the clock to all internal registers and to the clock feedback clkfb pin. the control logic samples the input clock, as well as the feedback clock, and adjusts the delay line. for optimum performance, the virtex-ii pro dcm uses a discrete digital delay line, which is a series of buffer elements each with an intrinsic delay of less than dcm_tap (see ac characteristics in the virtex-ii pro data sheet ) . a de-skew circuit works by inserting delay between the input clock and the feedback clock until the two rising edges align, putting the two clocks 360 degrees out of phase, which means they are in phase. when the edges from the input clock line up with the edges from the feedback clock, the dcm achieves ? lock. ? the two clocks have no discernible figure 2-23: clock de-skew outputs clkin clkfb clk0 dcm ds031_67a_101101 locked status[7:0] rst clock signal control signal
224 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r difference. thus, the dcm output clock compensates for the delay in the clock distribution network, effectively removing the delay between the source clock and its loads. input clock requirements the clock input of the dcm can be driven either by an ibufg, an ibuf, or a bufgmux. an lvds clock can also be used as input. the output clock signal of a dcm, essentially a delayed version of the input clock signal, reflects any instability on the input clock in the output waveform. a dcm cannot improve the input jitter. the dcm input clock requirements are specified in the virtex-ii pro data sheet . once locked, the dcm can tolerate input clock period variations of up to the value specified by clkin_cyc_jitt_dll_hf (at high frequencies) or clkin_cyc_jitt_dll_lf (at low frequencies). larger frequency changes can cause the dcm to lose lock, which is indicated by the locked output going low. the user must then reset the dcm. the cycle-to-cycle input jitter must be kept to less than clkin_per_jitt_dll_lf in the low frequencies and clkin_per_jitt_dll_hf for the high frequencies. input clock changes changing the period of the input clock beyond the maximum drift amount requires a manual reset of the dcm. failure to reset the dcm produces an unreliable lock signal and output clock. it is possible to stop the input clock with little impact to the de-skew circuit. the clock should be stopped for no more than 100 ms to minimize the effect of device cooling, which would change the tap delays. the clock should be stopped during a low phase, and when restored, must generate a full high half-period. during this time, locked stays high and remains high when the clock is restored. so a high on locked does not necessarily mean that a valid clock is available. when the clock is being stopped, one to four more clock cycles are still generated as the delay line is flushed. when the clock is restarted, the output clock cycles are not generated for one to four clocks as the delay line is filled. the most common case is two or three clocks. in a similar manner, a phase shift of the input clock is also possible. the phase shift propagates to the output one to four clocks after the original shift, with no disruption to the dcm control. output clocks some restrictions apply regarding the connectivity of the output pins. the dcm clock outputs can each drive an obuf, a global clock buffer bufgmux, or they can route directly to the clock input of a synchronous element. the dcm clock outputs can drive bufgmuxs that are on the same edge of the device (top or bottom). do not use the dcm output clock signals until after activation of the locked signal. prior to the activation of the locked signal, the dcm output clocks are not valid and can exhibit glitches, spikes, or other spurious movement. characteristics of the de-skew circuit  can eliminate clock distribution delay by effectively adding one clock period delay. clocks are de-skewed to within clkout_phase, specified in the virtex-ii pro data sheet .  can be used to eliminate on-chip as well as off-chip clock delay.  has no restrictions on the delay in the feedback clock path.  requires a continuously running input clock.  adapts to a wide range of frequencies. however, once locked to a frequency, cannot tolerate large variations of the input frequency.  de-skew circuit is part of the dcm, which also includes phase adjustment, frequency synthesis, and spread spectrum techniques that are described in this document.
ug012 (v1.0) january 31, 2002 www.xilinx.com 225 virtex-ii pro platform fpga handbook 1-800-255-7778 digital clock managers (dcms) r  does not eliminate jitter. the de-skew circuit output jitter is the sum of input jitter and some jitter value that the de-skew circuit might add.  the completion of configuration can be delayed until after dcm locks to guarantee the system clock is established prior to initiating the device. port signals source clock input ? clkin the clkin pin provides the user source clock (the clock signal on which the de-skew circuit operates) to the dcm. the clkin frequency must fall in the ranges specified in the virtex-ii pro data sheet . the clock input signal can be provided by one of the following: ibuf ? input buffer ibufg ? global clock input buffer on the same edge of the device (top or bottom) bufgmux ? internal global clock buffer feedback clock input ? clkfb a reference or feedback signal is required to delay-compensate the output. connect only the clk0 or clk2x dcm outputs to the feedback clock input (clkfb) pin to provide the necessary feedback to the dcm. the feedback clock input signal can be driven by an internal global clock buffer (bufgmux), one of the global clock input buffers (ibufg) on the same edge of the device (top or bottom), or ibuf (the input buffer.) if an ibufg sources the clkfb pin, the following special rules apply: 1. an external input port must source the signal that drives the ibufg input pin. 2. that signal must directly drive only obufs and nothing else. reset input ? rst when the reset pin is activated, the locked signal deactivates within four source clock cycles. the rst pin, active high, must either connect to a dynamic signal or be tied to ground. as the dcm delay taps reset to zero, glitches can occur on the dcm clock output pins. activation of the rst pin can also severely affect the duty cycle of the clock output pins. furthermore, the dcm output clocks no longer de-skew with respect to one another. for these reasons, use the reset pin only when reconfiguring the device or changing the input frequency. the reset input signal is asynchronous and should be held high for at least 2 ns. it takes approximately 120 s for the dcm to achieve lock after a reset in the slowest frequency range. the dcm locks faster at higher frequencies. see the lock_dll teiming parameter in the virtex-ii pro data sheet . locked output ? locked in order to achieve lock, the dcm may need to sample several thousand clock cycles. after the dcm achieves lock, the locked signal goes high. the dcm timing parameter section of the virtex-ii pro data sheet provides estimates for locking times. to guarantee that the system clock is established prior to the device ? waking up, ? the dcm can delay the completion of the device configuration process until after the dcm locks. the startup_wait attribute activates this feature. until the locked signal activates, the dcm output clocks are not valid and can exhibit glitches, spikes, or other spurious movement. in particular, the clk2x output appears as a 1x clock with a 25/75 duty cycle. status - status the status output is an 8-bit output, of which status[1] reveals the loss of the input clock, clkin to the dcm.
226 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r attributes the following attributes provide access to some of the virtex-ii pro series de-skew features, (for example, clock division and duty cycle correction). frequency mode the de-skew feature of the dcm is achieved with a delay-locked loop (dll). this attribute specifies either the high or low-frequency mode of the dll. the default is low-frequency mode. in high-frequency mode, the only outputs available from the dll are the clk0, clk180, clkdv, and locked. (clk90, clk270, clk2x, and clk2x180 are not available in high-frequency mode.) the frequency ranges for both frequency modes are specified in the virtex-ii pro data sheet . to set the dll to high-frequency mode, attach the dll_frequency_mode=high attribute in the source code or schematic. feedback input this attribute specifies the feedback input to the dcm (clk0, or clk2x). clk0 is the default feedback. when both the clk0 and the clk2x outputs are used internally or externally to the device, the feedback input can be either the clk0 or clk2x. in order to set the feedback to clk2x, attach the clk_feedback=2x attribute in the source code or schematic. duty cycle correction the 1x clock outputs, clk0, clk90, clk180, and clk270, use the duty cycle corrected default such that they exhibit a 50/50 duty cycle. the duty_cycle_correction attribute (by default true) controls this feature. to deactivate the dcm duty cycle correction for the 1x clock outputs, attach the duty_cycle_correction=false attribute in the source code or schematic. this makes the output clocks have the same duty cycle as the source clock. startup delay the default value of the startup_wait attribute is false. when startup_wait is set to true, and the lck_cycle bitgen option is used, then the configuration startup sequence waits in the specified cycle until the dcm locks. for details, see chapter 3: configuration and appendix a: bitgen and promgen switches and options . legacy support the virtex/virtex-e library primitives/sub modules are supported in virtex-ii pro for legacy purposes. the following are supported primitives/submodules:  clkdll  clkdlle  clkdllhf  bufgdll library primitive only a single library primitive is available for the dll, a part of the dcm. it is labeled the ? dcm ? primitive.
ug012 (v1.0) january 31, 2002 www.xilinx.com 227 virtex-ii pro platform fpga handbook 1-800-255-7778 digital clock managers (dcms) r submodules figure 2-24: bufg_clk0_subm figure 2-25: bufg_clk2x_subm figure 2-26: bufg_clk0_fb_subm clkin clk_in clko_w clk1x bufgmux clk0 ? 1 ? clkfb dcm ug002_c2_061_112800 io clkin clk_in clk2x_w clk2x bufgmux clk2x 1 clkfb dcm ug002_c2_062_112800 io clkin clk_in_w clk1x_ext clk0 clkfb dcm0_ext ug002_c2_063_100901 clk_in "1" clkin clk0 clkfb dcm1_int clkfb_w clkfb clko_int_w clk1x_int_w io
228 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r frequency synthesis the dcm provides several flexible methods for generating new clock frequencies. each method has a different operating frequency range and different ac characteristics. the clk2x and clk2x180 outputs double the clock frequency. the clkdv output provides divided output clocks with division options of 1.5, 2, 2.5, 3, 3.5, 4, 4.5, 5, 5.5, 6, 6.5, 7, 7.5, 8, 9, 10, 11, 12, 13, 14, 15, and 16. the dcm also offers a fully digital, dedicated frequency synthesizer output (clkfx) and its opposite phase (clkfx180). the output frequency can be any function of the input clock frequency described by m d, where m is the multipler (numerator) and d is the divisor (denominator). the two counter-phase frequency synthesized outputs can drive global clock routing networks within the device. the well-buffered global clock distribution network minimizes clock skew due to differences in distance or loading. see figure 2-29 . operation the dcm clock output clkfx is any m/d product of the clock input to the dcm. specifications for m and d, as well as input and output frequency ranges for the frequency synthesizer, are provided in the virtex-ii pro data sheet . the frequency synthesizer output figure 2-27: bufg_clk2x_fb_subm figure 2-28: bufg_clkdv_subm clkin clk_in_w clk2x_ext clk2x clkfb dcm_ext ug002_c2_064_100901 clk_in clkin clk2x clkfb dcm_int clkfb_w clkfb clk2x_int_w clk2x_int io "1" clkin clk_in clk0_w clk1x bufgmux clkfb dcm "1" ug002_c2_065_110700 clk0 clkdv "1" clkdiv_w clk_div io io
ug012 (v1.0) january 31, 2002 www.xilinx.com 229 virtex-ii pro platform fpga handbook 1-800-255-7778 digital clock managers (dcms) r is phase aligned to the clock output, clk0, only if feedback is provided to the clkfb input of the dcm. the internal operation of the frequency synthesizer is complex and beyond the scope of this document. the frequency synthesizer multiplies the incoming frequencies by the pre- calculated quotient m/d and generates the correct output frequencies as long as it is within the range specified in the virtex-ii pro data sheet . for example, assume input frequency = 50 mhz, m = 25, and d = 8 (note that m and d values have no common factors and hence cannot be reduced). the output frequency is correctly 156.25 mhz, although 25 x 50 mhz = 1.25 ghz and 50 mhz / 8 = 6.25 mhz, and both of these values are far outside the range of the input frequency. frequency synthesizer characteristics  the frequency synthesizer provides an output frequency equal to the input frequency multiplied by m and divided by d.  the outputs clkfx and clkfx180 always have a 50/50 duty-cycle.  smaller m and d values achieve faster lock times. the user should divide m and d by the largest common factor.  the outputs are phase aligned with clk0 when clkfb is connected. port signals source clock input ? clkin the clkin pin provides the user source clock to the dcm. the clkin frequency must fall in the ranges specified in the virtex-ii pro data sheet . the clock input signal can be provided by one of the following:  ibuf ? input buffer  ibufg ? global clock input buffer  bufgmux ? internal global clock buffer 2x clock output ? clk2x the clk2x output provides a frequency-doubled clock with an automatic 50/50 duty- cycle correction. this output is not available in high-frequency mode. figure 2-29: frequency synthesis outputs ug002__c2_081_101101 clkin clkfb dcm locked status[7:0] rst clk2x clk2x180 clkdv clkfx clkfx180 clock signal control signal
230 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r until the dcm has achieved lock, the clk2x output appears as a 1x version of the input clock with a 25/75 duty cycle. this behavior allows the dcm to lock on the correct edge with respect to source clock. clock divide output ? clkdv the clock divide output pin clkdv provides a lower frequency version of the source clock. the clkdv_divide property controls clkdv such that the source clock is divided by n where n is either 1.5, 2, 2.5, 3, 3.5, 4, 4.5, 5, 5.5, 6, 6.5, 7, 7.5, 8, 9, 10, 11, 12, 13, 14, 15, or 16. this feature provides automatic duty cycle correction such that the clkdv output pin has a 50/50 duty cycle always in low-frequency mode, as well as for all all integer values of the division factor n in high-frequency mode. frequency synthesized clock output - clkfx the clkfx output provides a frequency-synthesized clock (m/d * clkin) with a 50/50 duty cycle. for the clkfx output to be phase-aligned with clkin, the clock feedback (clk0) must be provided at the clkfb input. with m and d adjusted such that they have no common factor, the alignment occurs only once every d input clock cycles. frequency synthesized clock output 180 o phase shifted - clkfx180 the clkfx180 output is a 180 o phase shifted version of the clkfx clock output, also with a 50/50 duty cycle. locked output ? locked the locked signal is activated after the dcm has achieved the parameter values set by the user parameters. to guarantee that the system clock is established prior to the device ? waking up, ? the dcm can delay the completion of the device configuration process until after the dcm locks. the startup_wait attribute activates this feature. until the locked signal activates, the dcm output clocks are not valid and can exhibit glitches, spikes, or other spurious signals. reset input ? rst when the reset pin activates, the locked signal deactivates within four source clock cycles. the m and d values at configuration are maintained after the reset. the rst pin, active high, must either connect to a dynamic signal or be tied to ground. activation of the rst pin can also severely affect the duty cycle of the clock output pins. for this reason, activate the reset pin only when reconfiguring the device or changing the input frequency. the reset input signal is asynchronous and should be held high for at least 2 ns. status - status the status output is an 8-bit output:  status[1] indicates the loss of the input clock, clkin, only when clkfb is connected.  status[2] indicates loss of clkfx and clkfx180 even though locked might still be high. note that this ? clkfx stopped ? status functions only when clkin is present. attributes the following attributes provide access to some of the virtex-ii pro series frequency synthesis features, (for example, clock multiplication, clock division). clock divide the clkdv_divide attribute specifies how the signal on the clkdv pin is frequency divided with respect to the clk0 pin. the values allowed for this attribute are 1.5, 2, 2.5, 3, 3.5, 4, 4.5, 5, 5.5, 6, 6.5, 7, 7.5, 8, 9, 10, 11, 12, 13, 14, 15, or 16; the default value is 2.
ug012 (v1.0) january 31, 2002 www.xilinx.com 231 virtex-ii pro platform fpga handbook 1-800-255-7778 digital clock managers (dcms) r frequency mode for frequency synthesis this attribute specifies either the high or low-frequency mode of the frequency synthesizer. the default is low-frequency mode. the frequency ranges for both frequency modes are specified in the virtex-ii pro data sheet . to set the frequency synthesizer to high-frequency mode, attach the dfs_frequency_mode=high attribute in the source code or schematic. multiply/divide attribute the m and d values can be set using the clkfx_multiply and the clkfx_divide attributes. the default settings are m = 4 and d = 1. startup delay the default value of the startup_wait attribute is false. when startup_wait is set to true, and the lck_cycle bitgen option is used, then the configuration startup sequence waits in the specified cycle until the dcm locks. for details, see chapter 3: configuration and appendix a: bitgen and promgen switches and options . submodules figure 2-30: bufg_dfs_subm figure 2-31: bufg_dfs_fb_subm clkin clk_in clkfx_w clkfx clkfx "1" rst dcm ug002_c2_074_110800 clkfx180_w clkfx180 "1" clkfx180 rst lock locked clkin clk_in clk1x_w clk1x clk0 "1" rst dcm ug002_c2_075_110800 clkfx_w clkfx "1" clkfx rst lock locked clkfx180_w clkfx180 "1" clkfx180 clkfb
232 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r phase shifting the dcm can also provide coarse and fine-grained phase shifting. the clk0, clk90, clk180, and clk270 outputs are each phase shifted by ? of the input clock period relative to each other, providing coarse phase control. note that clk90 and clk270 are not available in high-frequency mode. operation figure 2-32 shows a block diagram of the dcm and all of the outputs affected by the circuitry of the phase shift feature. fine-phase adjustment affects all nine dcm output clocks. when activated, the phase shift between the rising edges of clkin and clkfb is a specified fraction of the input clock period. in variable mode, the phase_shift value can also be dynamically incremented or decremented as determined by psincdec synchronously to psclk, when the psen input is active. figure 2-33 illustrates the effects of fine-phase shifting. figure 2-33 figure 2-32: phase shift outputs ug002_c2_082_101201 clkin clkfb clk180 clk270 clk0 clk90 clk2x clk2x180 clkdv clkfx clkfx180 psdone psincdec psen psclk dcm locked status[7:0] figure 2-33: phase shift effects clkout_phase_shift = fixed clkout_phase_shift = variable clkout_phase_shift = none clkin clkin clkin clkfb (ps/256) x period clkin (ps negative) (ps/256) x period clkin (ps positive) (ps/256) x period clkin (ps negative) (ps/256) x period clkin (ps positive) ds031_48_101201 clkfb clkfb
ug012 (v1.0) january 31, 2002 www.xilinx.com 233 virtex-ii pro platform fpga handbook 1-800-255-7778 digital clock managers (dcms) r two separate components of the phase shift range must be understood:  phase_shift attribute range  fine_shift_range dcm timing parameter range the phase_shift attribute is the numerator in the following equation: phase shift (ns) = ( phase_shift /256) * period clkin the full range of this attribute is always -255 to +255, but its practical range varies with clkin frequency, as constrained by the fine_shift_range component, which represents the total delay achievable by the phase shift delay line. total delay is a function of the number of delay taps used in the circuit. across process, voltage, and temperature, this absolute range is guaranteed to be as specified in the dcm timing parameters section of the virtex-ii pro data sheet . absolute range (fixed mode) = fine_shift_range absolute range (variable mode) = fine_shift_range /2 the reason for the difference between fixed and variable modes is as follows. for variable mode to allow symmetric, dynamic sweeps from -255/256 to +255/256, the dcm sets the "zero phase skew" point as the middle of the delay line, thus dividing the total delay line range in half. in fixed mode, since the phase_shift value never changes after configuration, the entire delay line is available for insertion into either the clkin or clkfb path (to create either positive or negative skew). taking both of these components into consideration, the following are some usage examples:  if period clkin = two times fine_shift_range , then phase_shift in fixed mode is limited to 128, and in variable mode it is limited to 64.  if period clkin = fine_shift_range , then phase_shift in fixed mode is limited to 255, and in variable mode it is limited to 128.  if period clkin half of the fine_shift_range , then phase_shift is limited to 255 in either mode. in variable mode, the phase factor can be changed by activating psen for one period of psclk. increments or decrements to the phase factor can be made by setting the psincdec pin to a high or low, respectively. when the de-skew circuit has completed an increment or decrement operation, the signal psdone goes high for a single psclk cycle. this indicates to the user that the next change may be made. the user interface and the physical implementation are different. the user interface describes the phase shift as a fraction of the clock period (n/256). the physical implementation adds the appropriate number of buffer stages (each dcm_tap) to the clock delay. the dcm_tap granularity limits the phase resolution at higher clock frequencies. phase shift characteristics  offers fine-phase adjustment with a resolution of 1/256 of the clock period (or one dcm_tap, whichever is greater) by configuration and also dynamically under user control.  the phase shift settings affect all nine dcm outputs.  v cc and temperature do not affect the phase shift.
234 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r port signals 1x clock outputs ? clk[0|90|180|270] the 1x clock output pin clk0 represents a delay-compensated version of the source clock (clkin) signal. in low-frequency mode, the dcm provides three phase-shifted versions of the clk0 signal (clk90, clk180, and clk270), whereas in high-frequency mode, only the 180 phase-shifted version is provided. all four (including clk0) of the phase shifted outputs can be used simultaneously in low-frequency mode. the relationship between phase shift and the corresponding period shift appears in ta ble 2 -1 7 . the timing diagrams in figure 2-34 illustrate the dll clock output characteristics. by default, the dcm provides a 50/50 duty cycle correction on all 1x clock outputs. the duty_cycle_correction attribute (true by default), controls this feature. attach the duty_cycle_correction=false property to the dcm symbol in order to deactivate the dcm duty cycle correction. with duty cycle correction deactivated, the output clocks have the same duty cycle as the source clock. the dcm clock outputs can drive an obuf, a bufgmux, or they can route directly to the clock input of a synchronous element. table 2-17: relationship of phase-shifted output clock to period shift phase (degrees) % period shift 00% 90 25% 180 50% 270 75% figure 2-34: dll output characteristics x132_07_092599 clkin clk2x clk0 clk90 clk180 clk270 clkdv clkdv_divide=2 duty_cycle_correction=false clk0 clk90 clk180 clk270 duty_cycle_correction=true t 0 90 180 270 0 90 180 270
ug012 (v1.0) january 31, 2002 www.xilinx.com 235 virtex-ii pro platform fpga handbook 1-800-255-7778 digital clock managers (dcms) r source clock input ? clkin the clkin pin provides the user source clock to the dcm. the clkin frequency must fall in the ranges specified in the virtex-ii pro data sheet . the clock input signal can be provided by one of the following:  ibuf ? input buffer  ibufg ? global clock input buffer  bufgmux ? internal global clock buffer feedback clock input ? clkfb a dcm requires a reference or feedback signal to provide delay-compensated output. connect only the clk0 or clk2x dcm outputs to the feedback clock input (clkfb) pin to provide the necessary feedback to the dcm. the feedback clock input signal can be driven by an internal global clock buffer (bufgmux), one of the global clock input buffers (ibufg) on the same edge of the device (top or bottom), or ibuf (the input buffer.) if an ibufg sources the clkfb pin, the following special rules apply: 1. an external input port must source the signal that drives the ibufg input pin. 2. that signal must directly drive only obufs and nothing else. phase shift clock - psclk the psclk input can be sourced by the clkin signal to the dcm, or it can be a lower or higher frequency signal provided from any clock source (external or internal). the frequency range of psclk is defined by psclk_freq_lf/hf (see the virtex-ii pro data sheet ). this input has to be tied to ground when the clkout_phase_shift attribute is set to none or fixed. phase shift increment/decrement - psincdec the psincdec signal is synchronous to psclk and is used to increment or decrement the phase shift factor. in order to increment or decrement the phase shift by 1/256 of clock period, the psincdec signal must be high for increment or low for decrement. this input has to be tied to ground when the clkout_phase_shift attribute is set to none or fixed. phase shift enable - psen to initiate a variable phase-shift operation, the psen input must be activated for one period of psclk. the phase change becomes effective after up to 100 clkin pulse cycles plus three psclk cycles, and is indicated by a high pulse on psdone. during the phase transition there are no sporadic changes or glitches on any output. psen must be tied to ground when the clkout_phase_shift attribute is set to none or fixed. reset input ? rst when the reset pin is activated, the locked signal deactivates within four source clock cycles. after reset, the phase shift value is set to its value at configuration in both the fixed and variable modes. the rst pin, active high, must either connect to a dynamic signal or be tied to ground. activation of the rst pin can also severely affect the duty cycle of the clock output pins. for this reason, activate the reset pin only when reconfiguring the device or changing the input frequency. the reset input signal is asynchronous and should be held high for at least 2 ns. locked output ? locked the locked signal activates after the dcm has achieved lock. to guarantee that the system clock is established prior to the device ? waking up, ? the dcm can delay the completion of the device configuration process until after the dcm locks. the startup_wait attribute activates this feature. until the locked signal activates, the dcm output clocks are not valid and can exhibit glitches, spikes, or other spurious movement. for details, see chapter 3: configuration .
236 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r phase shift done - psdone the psdone signal is synchronous to psclk and it indicates, by pulsing high for one period of psclk, that the requested phase shift was achieved. this signal also indicates to the user that a new change to the phase shift numerator can be made. this output signal is not valid if the phase shift feature is not being used or is in fixed mode. status - status status[0] indicates the overflow of the phase shift numerator and that the absolute delay range of the phase shift delay line is exceeded. attributes the following attributes provide access to the virtex-ii pro fine-phase adjustment capability. clock out phase shift the clkout_phase_shift attribute controls the use of the phase_shift value. it can be set to none, fixed, or variable. by default, this attribute is set to none, indicating that the phase shift feature is not being used. when this attribute is set to none, the phase_shift value has no effect on the dcm outputs. if the clkout_phase_shift attribute is set to fixed or none, then the psen, psincdec, and the psclk inputs must be tied to ground. the effects of the clkout_phase_shift attribute are shown in figure 2-33 . phase_shift this attribute specifies the phase shift numerator as any value from -255 to 255. submodules figure 2-35: bufg_phase_clkfx_fb_subm clkin clk_in clk0_w clk0 "1" rst dcm ioug002_c2_076_112900 clkfx_w clkfx "1" clkfx rst lock locked clkfx180_w clkfx180 "1" clkfx180 clkfb psincdec psen psdone psclk psdone psindec psen io io io
ug012 (v1.0) january 31, 2002 www.xilinx.com 237 virtex-ii pro platform fpga handbook 1-800-255-7778 digital clock managers (dcms) r figure 2-36: bufg_phase_clk0_subm clkin clk_in clk0_w clk0 "1" rst dcm ug002_c2_071_101201 rst lock locked clkfb psincdec psen psdone psclk psdone psindec psen clk1x figure 2-37: bufg_phase_clk2x_subm clkin clk_in clk2x_w clk2x "1" rst ug002_c2_072_101201 rst lock locked clkfb psincdec psen psdone psclk psdone psindec psen clk2x dcm figure 2-38: bufg_phase_clkdv_subm clkin clk_in clk0_w clk0 "1" rst ug002_c2_073_101201 clk_div clkdv rst lock locked clkfb psincdec psen psdone psclk psdone psindec psen clk1x dcm
238 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r vhdl and verilog instantiation vhdl and verilog instantiation templates are available as examples ( see "vhdl and verilog templates" on page 238 ) for all submodules. in vhdl, each template has a component declaration section and an architecture section. each part of the template should be inserted within the vhdl design file. the port map of the architecture section should include the design signal names. vhdl and verilog templates the following submodules described in this section are available:  bufg_clk0_subm  bufg_clk2x_subm  bufg_clk0_fb_subm  bufg_clk2x_fb_subm  bufg_clkdv_subm  bufg_dfs_subm  bufg_dfs_fb_subm  bufg_phase_clkfx_fb_subm  bufg_phase_clk0_subm  bufg_phase_clk2x_subm  bufg_phase_clkdv_subm the corresponding submodules must be synthesized with the design. the bufg_clk0_subm submodule is provided in vhdl and verilog as an example. vhdl template -- module: bufg_clk0_subm -- description: vhdl submodule -- dcm with clk0 deskew -- device: virtex-ii pro family --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- -- pragma translate_off library unisim; use unisim.vcomponents.all; -- pragma translate_on -- entity bufg_clk0_subm is port ( clk_in : in std_logic; rst : in std_logic; clk1x : out std_logic; lock : out std_logic ); end bufg_clk0_subm; -- architecture bufg_clk0_subm_arch of bufg_clk0_subm is -- components declarations: component bufg port ( i : in std_logic; o : out std_logic ); end component; component dcm
ug012 (v1.0) january 31, 2002 www.xilinx.com 239 virtex-ii pro platform fpga handbook 1-800-255-7778 digital clock managers (dcms) r -- pragma translate_off generic ( dll_frequency_mode : string := "low"; duty_cycle_correction : boolean := true; startup_wait : boolean := false ); -- pragma translate_on port ( clkin : in std_logic; clkfb : in std_logic; dssen : in std_logic; psincdec : in std_logic; psen : in std_logic; psclk : in std_logic; rst : in std_logic; clk0 : out std_logic; clk90 : out std_logic; clk180 : out std_logic; clk270 : out std_logic; clk2x : out std_logic; clk2x180 : out std_logic; clkdv : out std_logic; clkfx : out std_logic; clkfx180 : out std_logic; locked : out std_logic; psdone : out std_logic; status : out std_logic_vector(7 downto 0) ); end component; -- attributes attribute dll_frequency_mode : string; attribute duty_cycle_correction : string; attribute startup_wait : string; attribute dll_frequency_mode of u_dcm: label is "low"; attribute duty_cycle_correction of u_dcm: label is "true"; attribute startup_wait of u_dcm: label is "false"; -- signal declarations: signal gnd : std_logic; signal clk0_w: std_logic; signal clk1x_w: std_logic; begin gnd <= ? 0 ? ; clk1x <= clk1x_w; -- dcm instantiation u_dcm: dcm port map ( clkin => clk_in, clkfb => clk1x_w, dssen => gnd, psincdec => gnd, psen => gnd, psclk => gnd, rst => rst, clk0 => clk0_w, locked => lock ); -- bufg instantiation u_bufg: bufg port map ( i => clk0_w, o => clk1x_w ); end bufg_clk0_subm_arch;
240 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r verilog template // module: bufg_clk0_subm // description: verilog submodule // dcm with clk0 deskew // // device: virtex-ii pro family //------------------------------------------------------------------- module bufg_ckl0_subm ( clk_in, rst, clk1x, lock ); input clk_in; input rst; output clk1x; output lock; wire clk0_w; wire gnd; assign gnd = 1 ? b0; //bufg instantiation // bufg u_bufg (.i(clk0_w), .o(clk1x) ); // attributes for functional simulation// // synopsys translate_off defparam u_dcm.dll_frequency_mode = "low"; defparam u_dcm.duty_cycle_correction = "true"; defparam u_dcm.startup_wait = "false"; // synopsys translate_on // instantiate the dcm primitive// dcm u_dcm ( .clkfb(clk1x), .clkin(clk_in), .dssen(gnd), .psclk(gnd), .psen(gnd), .psincdec(gnd), .rst(rst), .clk0(clk0_w), .locked(lock) ); // synthesis attribute declarations /* synopsys attribute dll_frequency_mode "low" duty_cycle_correction "true" startup_wait "false" */ endmodule
ug012 (v1.0) january 31, 2002 www.xilinx.com 241 virtex-ii pro platform fpga handbook 1-800-255-7778 digital clock managers (dcms) r dcm waveforms the dcm waveforms shown below are the results of functional simulation using model tech no log y ? s modelsim ee/plus 5.3a_p1 simulator. note that the time scale for these simulations were set to 1ns/1ps. it is important to set the unused inputs of the dcm to logic 0 and to set the attribute values to the correct data types. for example, the phase_shift, clkfx_divide, and clkfx_multiply attributes are integers and should be set to values as shown. defparam u_dcm.dfs_frequency_mode = ? low ? ; defparam u_dcm.clkfx_divide = 1; (this value ? s range is specified under frequency synthesis in the virtex-ii pro data sheet) defparam u_dcm.clkfx_multiply = 4; (this value ? s range is specified under frequency synthesis in the virtex-ii pro data sheet) defparam u_dcm.clkout_phase_shift = ? fixed ? ; defparam u_dcm.phase_shift = 150; (any value from 1 to 255) defparam u_dcm.startup_wait = ? false ? ; the input clock, ? clk_in ? (clkin input of dcm) in all these waveforms is 50 mhz. the dcm_dll waveforms in figure 2-39 shows four dcm outputs, namely, clk1x (clk0 output of dcm), clk2x (clk2x output of dcm), clk90 (clk90 output of dcm), and clk180 (clk180 output of dcm). the dcm_dfs waveforms in figure 2-40 shows four dcm outputs namely, clk1x (clk0 output of dcm), clk2x (clk2x output of dcm), clkfx (clkfx output of dcm), and clkfx180 (clkfx180 output of dcm). in this case the attributes, clkfx_divide = 1, and the clkfx_multiply = 3. figure 2-39: dcm_dll waveforms ug002_c2_095_113000
242 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r the dcm_dps waveforms in figure 2-41 shows four dcm outputs, namely, clk1x (clk0 output of dcm), clk2x (clk2x output of dcm), clk90 (clk90 output of dcm), and clk180 (clk180 output of dcm). in this case, the attribute phase_shift = 150 which translates to a phase shift of (150 x 20 ns)/256 = 11.719 ns, where 20 ns is the clock period. figure 2-40: dcm_dfs waveforms ug002_c2_096_113000 figure 2-41: dcm_dps waveforms ug002_c2_097_113000
ug012 (v1.0) january 31, 2002 www.xilinx.com 243 virtex-ii pro platform fpga handbook 1-800-255-7778 block selectram ? memory r the dcm_dps_dfs waveforms in figure 2-42 shows four dcm outputs namely, clk1x (clk0 output of dcm), clk90 (clk90 output of dcm), clkfx (clkfx output of dcm), and clkfx180 (clkfx180 output of dcm). in this case, the attributes, clkfx_divide = 1, and the clkfx_multiply = 4. the attribute, phase_shift = 150 which translates to a phase shift of (150 x 20 ns)/256 = 11.719 ns, where 20 ns is the clock period. block selectram ? memory introduction in addition to distributed selectram memory, virtex-ii pro devices feature a large number of 18 kb block selectram memories. the block selectram memory is a true dual-port ? ram, offering fast, discrete, and large blocks of memory in the device. the memory is organized in columns, and the total amount of block selectram memory depends on the size of the virtex-ii pro device. the 18 kb blocks are cascadable to enable a deeper and wider memory implementation, with a minimal timing penalty incurred through specialized routing resources. embedded dual- or single-port ram modules, rom modules, synchronous fifos, and data width converters are easily implemented using the xilinx core generator ? block memory ? modules. asynchronous fifos can be generated using the core generator asynchronous fifo and "block memory" module. starting with ip update #3, the designer can also generate synchronous fifos using block memory. synchronous dual-port and single-port ram data flow the 18kb block selectram dual-port memory consists of an 18 kb storage area and two completely independent access ports, a and b. the structure is fully symmetrical, and both ports are interchangeable. figure 2-42: dcm_dps_dfs waveforms ug002_c2_098_113000
244 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r data can be written to either port and can be read from the same or the other port. each port is synchronous, with its own clock, clock enable, and write enable. note that the read operation is also synchronous and requires a clock edge. as described below, there are three options for the behavior of the data output during a write operation on its port. there is no dedicated monitor to arbitrate the result of identical addresses on both ports. it is up to the user to time the two clocks appropriately. however, conflicting simultaneous writes to the same location never cause any physical damage. operating modes to maximize utilization of the true dual-port memory at each clock edge, the block selectram memory supports three different write modes for each port. the ? read during write ? mode offers the flexibility of using the data output bus during a write operation on the same port. output behavior is determined by the configuration. this choice increases the efficiency of block selectram memory at each clock cycle and allows designs that use maximum bandwidth. read operation the read operation uses one clock edge. the read address is registered on the read port, and the stored data is loaded into the output latches after the ram access interval passes. write operations a write operation is a single clock-edge operation. the write address is registered on the write port, and the data input is stored in memory. three different modes are used to determine data available on the output latches after a write clock edge. figure 2-43: dual-port data flows dopa dipa addra wea ena ssra clka dipb addrb web enb ssrb clkb 18-kbit block selectram ug002_c2_080_111300 dopb dob doa dia dib 18 kb memory array port a port b
ug012 (v1.0) january 31, 2002 www.xilinx.com 245 virtex-ii pro platform fpga handbook 1-800-255-7778 block selectram ? memory r write_first or transparent mode (default) in write_first mode, the input data is simultaneously written into memory and stored in the data output (transparent write), as shown in figure 2-44 . read_first or read-before-write mode in read_first mode, data previously stored at the write address appears on the output latches, while the input data is being stored in memory (read before write). see figure 2-45 . figure 2-44: write_first mode waveforms figure 2-45: read_first mode waveforms clk we data_in address data_out enable disabled read xxxx 1111 2222 xxxx aa bb cc dd 0000 mem(aa) 1111 2222 mem(dd) read write mem(bb)=1111 write mem(cc)=2222 ds031_19_040400 clk we data_in address data_out enable disabled read xxxx 1111 2222 xxxx aa bb cc dd 0000 mem(aa) old mem(bb) old mem(cc) mem(dd) read write mem(bb)=1111 write mem(cc)=2222 ds031_18_040400
246 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r no_change mode in no_change mode, the output latches remain unchanged during a write operation. as shown in figure 2-46 , data output is still the last read data and is unaffected by a write operation on the same port. mode selection is set by configuration. one of these three modes is set individually for each port by an attribute. the default mode is write_first. figure 2-46: no_change mode waveforms clk we data_in address data_out enable disabled read xxxx 1111 2222 xxxx aa bb cc dd 0000 mem(aa) mem(dd) read write mem(bb)=1111 write mem(cc)=2222 ds031_17_040400
ug012 (v1.0) january 31, 2002 www.xilinx.com 247 virtex-ii pro platform fpga handbook 1-800-255-7778 block selectram ? memory r conflict resolution virtex-ii pro block selectram memory is a true dual-port ram that allows both ports to simultaneously access the same memory cell. when one port writes to a given memory cell, the other port must not address that memory cell (for a write or a read) within the clock-to-clock setup window. figure 2-47 describes this asynchronous operation. if port a and port b are configured with different widths, only the overlapping bits are invalid when conflicts occur. asynchronous clocks the first clk_a clock edge violates the clock-to-clock setup parameter, because it occurs too soon after the last clk_b clock edge. the write operation on port b is valid, and the read operation on port a is invalid. at the second rising edge of the clk_b pin, the write operation is valid. the memory location (bb) contains 4444. the second rising edge of clk_a reads the new data at the same location (bb), which now contains 4444. the clock-to-clock setup timing parameter is specified together with other block selectram switching characteristics in the virtex-ii pro data sheet . synchronous clocks when both clocks are synchronous or identical, the result of simultaneous accesses from both ports to the same memory cell is best described in words:  if both ports read simultaneously from the same memory cell: both data_out ports will have the same data.  if both ports write simultaneously into the same memory cell: the data stored in that cell becomes invalid (unless both ports write identical data).  if one port writes and the other one reads from the same memory cell: the write operation succeeds, and the write port ? s data_out behaves as determined by the read output mode (write_first, read_first, or no_change). if the write port is in read_first mode, the read port's data_out represents the previous content of the memory cell. if the write port is in write_first mode or in no_change mode, the read port's data_out becomes invalid. obviously, the read port's mode setting does not affect this operation. figure 2-47: read-write conditions clk_b data_in_b address_b we_b aa bb 4444 3333 unknown 4444 aa bb clk_a data_out_a address_a we_a mem(aa)= 3333 clock-to-clock setup violation mem(bb)= 4444 mem(cc)= 2222 ug002_c2_026_081800 ba b a cc 2222 read port write port
248 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r characteristics  a write operation requires only one clock edge.  a read operation requires only one clock edge.  all inputs are registered with the port clock and have a setup-to-clock timing specification.  all outputs have a read-through function or one of three read-during-write functions, depending on the state of the we pin. the outputs relative to the port clock are available after the clock-to-out timing interval.  block selectram cells are true synchronous ram memories and do not have a combinatorial path from the address to the output.  the ports are completely independent of each other (that is, clocking, control, address, read/write functions, initialization, and data width) without arbitration.  output ports are latched with a self-timed circuit, guaranteeing glitch-free reads. the state of the output port does not change until the port executes another read or write operation.  data input and output signals are always buses; that is, in a 1-bit width configuration, the data input signal is di[0] and the data output signal is do[0]. library primitives the input and output data buses are represented by two buses for 9-bit width (8+1), 18-bit width (16+2), and 36-bit width (32+4) configurations. the ninth bit associated with each byte can store parity or error correction bits. no specific function is performed on this bit. the separate bus for parity bits facilitates some designs. however, other designs safely use a 9-bit, 18-bit, or 36-bit bus by merging the regular data bus with the parity bus. read/write and storage operations are identical for all bits, including the parity bits. figure 2-48 shows the generic dual-port block ram primitive. dia, dipa, addra, doa, dopa, and the corresponding signals on port b are buses. figure 2-48: dual-port block ram primitive dopa[#:0] dopb[#:0] dia[#:0] dipa[#:0] addra[#:0] wea ena ssra clka doa[#:0] dob[#:0] dib[#:0] dipb[#:0] addrb[#:0] web enb ssrb clkb ramb16_s#_s# ds031_20_040500
ug012 (v1.0) january 31, 2002 www.xilinx.com 249 virtex-ii pro platform fpga handbook 1-800-255-7778 block selectram ? memory r ta ble 2 -1 8 lists the available dual-port primitives for synthesis and simulation. figure 2-49 shows the generic single-port block ram primitive. di, dip, addr, do, and dop are buses. ta ble 2 -1 9 lists all of the available single-port primitives for synthesis and simulation. table 2-18: dual-port block ram primitives primitive port a width port b width ramb16_s1_s1 1 1 ramb16_s1_s2 2 ramb16_s1_s4 4 ramb16_s1_s9 (8+1) ramb16_s1_s18 (16+2) ramb16_s1_s36 (32+4) ramb16_s2_s2 2 2 ramb16_s2_s4 4 ramb16_s2_s9 (8+1) ramb16_s2_s18 (16+2) ramb16_s2_s36 (32+4) ramb16_s4_s4 4 4 ramb16_s4_s9 (8+1) ramb16_s4_s18 (16+2) ramb16_s4_s36 (32+4) ramb16_s9_s9 (8+1) (8+1) ramb16_s9_s18 (16+2) ramb16_s9_s36 (32+4) ramb16_s18_s18 (16+2) (16+2) ramb16_s18_s36 (32+4) ramb16_s36_s36 (32+4) (32+4) figure 2-49: single-port block ram primitive table 2-19: single-port block ram primitives primitive port width ramb16_s1 1 ramb16_s2 2 ramb16_s4 4 ramb16_s9 (8+1) ramb16_s18 (16+2) ramb16_s36 (32+4) dop[#:0] di[#:0] dip[#:0] addr[#:0] we en ssr clk do[#:0] ramb16_s# ds031_21_040500
250 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r vhdl and verilog instantiation vhdl and verilog instantiation templates are available as examples ( see "vhdl and verilog templates" on page 254 ). in vhdl, each template has a component declaration section and an architecture section. each part of the template should be inserted within the vhdl design file. the port map of the architecture section should include the design signal names. the selectram_ax templates (with x = 1, 2, 4, 9, 18, or 36) are single-port modules and instantiate the corresponding ramb16_sx module. selectram_ax_by templates (with x = 1, 2, 4, 9, 18, or 36 and y = = 1, 2, 4, 9, 18, or 36) are dual-port modules and instantiate the corresponding ramb16_sx_sy module. port signals each block selectram port operates independently of the other while accessing the same set of 18k-bit memory cells. clock - clk[a|b] each port is fully synchronous with independent clock pins. all port input pins have setup time referenced to the port clk pin. the data bus has a clock-to-out time referenced to the clk pin. clock polarity is configurable (rising edge by default). enable - en[a|b] the enable pin affects the read, write, and set/reset functionality of the port. ports with an inactive enable pin keep the output pins in the previous state and do not write data to the memory cells. enable polarity is configurable (active high by default). write enable - we[a|b] both en and we are active when the contents of the data input bus is written to memory at the address pointed to by the address bus. the output latches are loaded or not loaded according to the write configuration (write_first, read_first, no_change). when inactive, a read operation occurs, and the contents of the memory cells referenced by the address bus reflect on the data-out bus, regardless of the write mode attribute. write enable polarity is configurable (active high by default). set/reset - ssr[a|b] the ssr pin forces the data output latches to contain the value ? srval ? ( see "attributes" on page 252 ). the data output latches are synchronously asserted to 0 or 1, including the parity bit. in a 36-bit width configuration, each port has an independent srval[a|b] attribute of 36 bits. this operation does not affect ram memory cells and does not disturb write operations on the other port. like the read and write operation, the set/reset function is active only when the enable pin of the port is active. set/reset polarity is configurable (active high by default). address bus - addr[a|b]<#:0> the address bus selects the memory cells for read or write. the width of the port determines the required address bus width, as shown in table 2-20 .
ug012 (v1.0) january 31, 2002 www.xilinx.com 251 virtex-ii pro platform fpga handbook 1-800-255-7778 block selectram ? memory r data-in buses - di[a|b]<#:0> & dip[a|b]<#:0> data-in buses provide the new data value to be written into ram. the regular data-in bus (di) and the parity data-in bus (when available) have a total width equal to the port width. for example the 36-bit port data width is represented by di<31:0> and dip<3:0>, as shown in table 2-20 . data-out buses - do[a|b]<#:0> & dop[a|b]<#:0> data-out buses reflect the contents of memory cells referenced by the address bus at the last active clock edge during a read operation. during a write operation (write_first or read_first configuration), the data-out buses reflect either the data-in buses or the stored value before write. during a write operation in no_change mode, data-out buses are not affected. the regular data-out bus (do) and the parity data-out bus (dop) (when available) have a total width equal to the port width, as shown in ta ble 2-2 0 . inverting control pins for each port, the four control pins (clk, en, we, and ssr) each have an individual inversion option. any control signal can be configured as active high or low, and the clock can be active on a rising or falling edge (active high on rising edge by default) without requiring other logic resources. unused inputs non-connected data and/or address inputs should be connected to logic ? 1 ? . gsr the global set/reset (gsr) signal of a virtex-ii pro device is an asynchronous global signal that is active at the end of device configuration. the gsr can also restore the initial virtex-ii pro state at any time. the gsr signal initializes the output latches to the init, or to the init_a and init_b value ( see "attributes" on page 252 ). a gsr signal has no impact on internal memory contents. because it is a global signal, the gsr has no input pin at the functional level (block selectram primitive). address mapping each port accesses the same set of 18,432 memory cells using an addressing scheme dependent on the width of the port. the physical ram locations addressed for a particular width are determined using the following formula (of interest only when the two ports use different aspect ratios): end = ((addr + 1) * width) -1 start= addr * width ta ble 2 -2 1 shows low-order address mapping for each port width. table 2-20: port aspect ratio port data width depth addr bus di bus / do bus dip bus / dop bus 1 16,384 <13:0> <0> na 2 8,192 <12:0> <1:0> na 4 4,096 <11:0> <3:0> na 9 2,048 <10:0> <7:0> <0> 18 1,024 <9:0> <15:0> <1:0> 36 512 <8:0> <31:0> <3:0>
252 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r attributes content initialization - init_xx init_xx attributes define the initial memory contents. by default block selectram memory is initialized with all zeros during the device configuration sequence. the 64 initialization attributes from init_00 through init_3f represent the regular memory contents. each init_xx is a 64-digit hex-encoded bit vector. the memory contents can be partially initialized and are automatically completed with zeros. the following formula is used for determining the bit positions for each init_xx attribute. given yy = conversion hex-encoded to decimal (xx), init_xx corresponds to the memory cells as follows:  from [(yy + 1) * 256] -1  to (yy) * 256 for example, for the attribute init_1f, the conversion is as follows:  yy = conversion hex-encoded to decimal x ? 1f ? = 31  from [(31+1) * 256] -1 = 8191  to 31 * 256 = 7936 more examples are given in ta ble 2-2 2 . table 2-21: port address mapping port width parity locations data locations 1 n.a. 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 2 1514131211109876543210 4 76543210 8 + 1 321 0 3 2 1 0 16 + 2 1 0 1 0 32 + 4 0 0 table 2-22: block selectram initialization attributes attribute memory cell from to init_00 255 0 init_01 511 256 init_02 767 512 ?? ? init_0e 3839 3584 init_0f 4095 3840 init_10 4351 4096 ?? ? init_1f 8191 7936 init_20 8447 8192 ?? ? init_2f 12287 12032 init_30 12543 12288 .. ?? init_3f 16383 16128
ug012 (v1.0) january 31, 2002 www.xilinx.com 253 virtex-ii pro platform fpga handbook 1-800-255-7778 block selectram ? memory r content initialization - initp_xx initp_xx attributes define the initial contents of the memory cells corresponding to dip/dop buses (parity bits). by default these memory cells are also initialized to all zeros. the eight initialization attributes from initp_00 through initp_07 represent the memory contents of parity bits. each initp_xx is a 64-digit hex-encoded bit vector and behaves like a regular init_xx attribute. the same formula can be used to calculate the bit positions initialized by a particular initp_xx attribute. output latches initialization - init (init_a & init_b) the init (single-port) or init_a and init_b (dual-port) attributes define the output latches values after configuration. the width of the init (init_a & init_b) attribute is the port width, as shown in ta ble 2-2 3 . these attributes are hex-encoded bit vectors and the default value is 0. output latches synchronous set/reset - srval (srval_a & srval_b) the srval (single-port) or srval_a and srval_b (dual-port) attributes define output latch values when the ssr input is asserted. the width of the srval (srval_a and srval_b) attribute is the port width, as shown in ta ble 2 -2 3 . these attributes are hex- encoded bit vectors and the default value is 0. initialization in vhdl or verilog codes block selectram memory structures can be initialized in vhdl or verilog code for both synthesis and simulation. for synthesis, the attributes are attached to the block selectram instantiation and are copied in the edif output file to be compiled by xilinx alliance series ? tools. the vhdl code simulation uses a generic parameter to pass the attributes. the verilog code simulation uses a defparam parameter to pass the attributes. the xc2v_ramb_1_port block selectram instantiation code examples (in vhdl and verilog) illustrate these techniques ( see "vhdl and verilog templates" on page 254 ). location constraints block selectram instances can have loc properties attached to them to constrain placement. block selectram placement locations differ from the convention used for naming clb locations, allowing loc properties to transfer easily from array to array. the loc properties use the following form: loc = ramb16_x#y# the ramb16_x0y0 is the bottom-left block selectram location on the device. table 2-23: port width values port data width dop bus do bus init / srval 1na<0>1 2na<1:0>2 4na<3:0>4 9 <0> <7:0> (1+8) = 9 18 <1:0> <15:0> (2+16) = 18 36 <3:0> <31:0> (4 + 32) = 36
254 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r applications creating larger ram structures block selectram columns have specialized routing to allow cascading blocks with minimal routing delays. wider or deeper ram structures are achieved with a smaller timing penalty than is encountered when using normal routing resources. the core generator program offers the designer a painless way to generate wider and deeper memory structures using multiple block selectram instances. this program outputs vhdl or verilog instantiation templates and simulation models, along with an edif file for inclusion in a design. multiple ram organizations the flexibility of block selectram memories allows designs with various types of ram in addition to regular configurations. application notes at www.xilinx.com describe some of these designs, with vhdl and verilog reference designs included. virtex-ii pro block selectram can be used as follows:  two independent single-port ram resources  one 72-bit single-port ram resource  one triple-port (1 read/write and 2 read ports) ram resource application notes with vhdl and verilog reference designs at www.xilinx.com also describe other implementations using block selectram memory, such as:  xapp258 ? fifos using virtex-ii pro block ram ?  xapp260 ? fast read/write cam solution ? vhdl and verilog templates vhdl and verilog templates are available for all single-port and dual-port primitives. the a and b numbers indicate the width of the ports. the following are single-port templates:  selectram_a1  selectram_a2  selectram_a4  selectram_a9  selectram_a18  selectram_a36 the following are dual-port templates:  selectram_a1_b1  selectram_a1_b2  selectram_a1_b4  selectram_a1_b9  selectram_a1_b18  selectram_a1_b36  selectram_a2_b2  selectram_a2_b4  selectram_a2_b9  selectram_a2_b18  selectram_a2_b36  selectram_a4_b4
ug012 (v1.0) january 31, 2002 www.xilinx.com 255 virtex-ii pro platform fpga handbook 1-800-255-7778 block selectram ? memory r  selectram_a4_b9  selectram_a4_b18  selectram_a4_b36  selectram_a9_b9  selectram_a9_b18  selectram_a9_b36  selectram_a18_b18  selectram_a18_b36  selectram_a36_b36 vhdl template as an example, the xc2v_ramb_1_port.vhd file uses the selectram_a36 template: -- module: xc2v_ramb_1_port -- description: 18kb block selectram example -- single port 512 x 36 bits -- use template ? selectram_a36.vhd" -- -- device: virtex-ii pro family --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- -- syntax for synopsys fpga express -- pragma translate_off library unisim; use unisim.vcomponents.all; -- pragma translate_on -- entity xc2v_ramb_1_port is port ( data_in : in std_logic_vector (35 downto 0); address : in std_logic_vector (8 downto 0); enable: in std_logic; write_en : in std_logic; set_reset : in std_logic; clk : in std_logic; data_out : out std_logic_vector (35 downto 0) ); end xc2v_ramb_1_port; -- architecture xc2v_ramb_1_port_arch of xc2v_ramb_1_port is -- -- components declarations: -- component bufg port ( i : in std_logic; o : out std_logic ); end component; -- -- syntax for synopsys fpga express component ramb16_s36 -- pragma translate_off generic ( -- "read during write" attribute for functional simulation write_mode : string := "read_first" ; -- write_first(default)/ read_first/ no_change
256 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r -- output value after configuration init : bit_vector(35 downto 0) := x"000000000"; -- output value if ssr active srval : bit_vector(35 downto 0) := x"012345678"; -- plus bits initial content initp_00 : bit_vector(255 downto 0) := x"000000000000000000000000000000000000000000000000fedcba9876543210"; initp_01 : bit_vector(255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; initp_02 : bit_vector(255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; initp_03 : bit_vector(255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; initp_04 : bit_vector(255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; initp_05 : bit_vector(255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; initp_06 : bit_vector(255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; initp_07 : bit_vector(255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; -- regular bits initial content init_00 : bit_vector(255 downto 0) := x"000000000000000000000000000000000000000000000000fedcba9876543210"; init_01 : bit_vector(255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; init_02 : bit_vector(255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; ... (cut) init_3e : bit_vector(255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; init_3f : bit_vector(255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000" ); -- pragma translate_on port ( di : in std_logic_vector (31 downto 0); dip : in std_logic_vector (3 downto 0); addr : in std_logic_vector (8 downto 0); en : in std_logic; we : in std_logic; ssr : in std_logic; clk : in std_logic; do : out std_logic_vector (31 downto 0); dop : out std_logic_vector (3 downto 0) ); end component; -- -- attribute declarations: attribute write_mode : string; attribute init: string; attribute srval: string; -- attribute initp_00: string; attribute initp_01: string; attribute initp_02: string; attribute initp_03: string; attribute initp_04: string; attribute initp_05: string; attribute initp_06: string; attribute initp_07: string; --
ug012 (v1.0) january 31, 2002 www.xilinx.com 257 virtex-ii pro platform fpga handbook 1-800-255-7778 block selectram ? memory r attribute init_00: string; attribute init_01: string; attribute init_02: string; ? (cut) attribute init_3e: string; attribute init_3f: string; -- -- attribute "read during write mode" = write_first(default)/ read_first/ no_change attribute write_mode of u_ramb16_s36: label is "read_first"; attribute init of u_ramb16_s36: label is "000000000"; attribute srval of u_ramb16_s36: label is "012345678"; -- -- ramb16 memory initialization for alliance -- default value is "0" / partial initialization strings are padded -- with zeros to the left attribute initp_00 of u_ramb16_s36: label is "000000000000000000000000000000000000000000000000fedcba9876543210"; attribute initp_01 of u_ramb16_s36: label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute initp_02 of u_ramb16_s36: label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute initp_03 of u_ramb16_s36: label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute initp_04 of u_ramb16_s36: label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute initp_05 of u_ramb16_s36: label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute initp_06 of u_ramb16_s36: label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute initp_07 of u_ramb16_s36: label is "0000000000000000000000000000000000000000000000000000000000000000"; -- attribute init_00 of u_ramb16_s36: label is "000000000000000000000000000000000000000000000000fedcba9876543210"; attribute init_01 of u_ramb16_s36: label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute init_02 of u_ramb16_s36: label is "0000000000000000000000000000000000000000000000000000000000000000"; ... (cut) attribute init_3e of u_ramb16_s36: label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute init_3f of u_ramb16_s36: label is "0000000000000000000000000000000000000000000000000000000000000000"; -- -- -- signal declarations: -- -- signal vcc : std_logic; -- signal gnd : std_logic; signal clk_bufg: std_logic; signal inv_set_reset : std_logic; -- begin -- vcc <= '1'; -- gnd <= '0'; -- -- instantiate the clock buffer u_bufg: bufg port map ( i => clk, o => clk_bufg
258 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r ); -- -- use of the free inverter on ssr pin inv_set_reset <= not set_reset; -- block selectram instantiation u_ramb16_s36: ramb16_s36 port map ( di => data_in (31 downto 0), -- insert 32 bits data-in bus (<31 downto 0>) dip => data_in (35 downto 32), -- insert 4 bits parity data- in bus (or <35 downto 32>) addr => address (8 downto 0), -- insert 9 bits address bus en => enable, -- insert enable signal we => write_en, -- insert write enable signal ssr => inv_set_reset, -- insert set/reset signal clk => clk_bufg, -- insert clock signal do => data_out (31 downto 0), -- insert 32 bits data-out bus (<31 downto 0>) dop => data_out (35 downto 32) -- insert 4 bits parity data- out bus (or <35 downto 32>) ); -- end xc2v_ramb_1_port_arch; --------------------------------------------------------------------- verilog template // module: xc2v_ramb_1_port // description: 18kb block selectram-ii example // single port 512 x 36 bits // use template "selectram_a36.v" // // device: virtex-ii pro family //------------------------------------------------------------------- module xc2v_ramb_1_port (clk, set_reset, enable, write_en, address, data_in, data_out); input clk, set_reset, enable, write_en; input [35:0] data_in; input [8:0] address; output [35:0] data_out; wire clk_bufg, inv_set_reset; //use of the free inverter on ssr pin assign inv_set_reset = ~set_reset; // initialize block ram for simulation // synopsys translate_off defparam // ? read during write ? attribute for functional simulation u_ramb16_s36.write_mode = ? read_first ? , //write_first(default)/ read_first/ no_change //output value after configuration u_ramb16_s36.init = 36'h000000000, //output value if ssr active u_ramb16_s36.srval = 36'h012345678,
ug012 (v1.0) january 31, 2002 www.xilinx.com 259 virtex-ii pro platform fpga handbook 1-800-255-7778 block selectram ? memory r //plus bits initial content u_ramb16_s36.initp_00 = 256 ? h0123456789abcdef000000000000000000000000000000000000000000000000, u_ramb16_s36.initp_01 = 256 ? h0000000000000000000000000000000000000000000000000000000000000000, u_ramb16_s36.initp_02 = 256 ? h0000000000000000000000000000000000000000000000000000000000000000, u_ramb16_s36.initp_03 = 256 ? h0000000000000000000000000000000000000000000000000000000000000000, u_ramb16_s36.initp_04 = 256 ? h0000000000000000000000000000000000000000000000000000000000000000, u_ramb16_s36.initp_05 = 256 ? h0000000000000000000000000000000000000000000000000000000000000000, u_ramb16_s36.initp_06 = 256 ? h0000000000000000000000000000000000000000000000000000000000000000, u_ramb16_s36.initp_07 = 256 ? h0000000000000000000000000000000000000000000000000000000000000000, //regular bits initial content u_ramb16_s36.init_00 = 256 ? h0123456789abcdef000000000000000000000000000000000000000000000000, u_ramb16_s36.init_01 = 256 ? h0000000000000000000000000000000000000000000000000000000000000000, u_ramb16_s36.init_02 = 256 ? h0000000000000000000000000000000000000000000000000000000000000000, ... u_ramb16_s36.init_3e = 256 ? h0000000000000000000000000000000000000000000000000000000000000000, u_ramb16_s36.init_3f = 256 ? h0000000000000000000000000000000000000000000000000000000000000000; // synopsys translate_on //instantiate the clock buffer bufg u_bufg ( .i(clk), .o(clk_bufg)); //block selectram instantiation ramb16_s36 u_ramb16_s36 ( .di(data_in[31:0]), .dip(data_in-parity[35:32]), .addr(address), .en(enable), .we(write_en), .ssr(inv_set_reset), .clk(clk_bufg), .do(data_out[31:0]), .dop(data_out-parity[35:32])); // synthesis attribute declarations /* synopsys attribute write_mode "read_first" init "000000000" srval "012345678" initp_00 "0123456789abcdef000000000000000000000000000000000000000000000000" initp_01 "0000000000000000000000000000000000000000000000000000000000000000" initp_02 "0000000000000000000000000000000000000000000000000000000000000000" initp_03 "0000000000000000000000000000000000000000000000000000000000000000"
260 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r initp_04 "0000000000000000000000000000000000000000000000000000000000000000" initp_05 "0000000000000000000000000000000000000000000000000000000000000000" initp_06 "0000000000000000000000000000000000000000000000000000000000000000" initp_07 "0000000000000000000000000000000000000000000000000000000000000000" init_00 "0123456789abcdef000000000000000000000000000000000000000000000000" init_01 "0000000000000000000000000000000000000000000000000000000000000000" init_02 "0000000000000000000000000000000000000000000000000000000000000000" ... init_3e "0000000000000000000000000000000000000000000000000000000000000000" init_3f "0000000000000000000000000000000000000000000000000000000000000000" */ endmodule distributed selectram memory introduction in addition to 18kb selectram blocks, virtex-ii pro devices feature distributed selectram modules. each function generator or lut of a clb resource can implement a 16 x 1-bit synchronous ram resource. distributed selectram memory writes synchronously and reads asynchronously. however, a synchronous read can be implemented using the register that is available in the same slice. this 16 x 1-bit ram is cascadable for a deeper and/or wider memory implementation, with a minimal timing penalty incurred through specialized logic resources. distributed selectram modules up to a size of 128 x 1 are available as primitives. two 16 x 1 ram resources can be combined to form a dual-port 16 x 1 ram with one dedicated read/write port and a second read-only port. one port writes into both 16 x1 rams simultaneously, but the second port reads independently. this section provides generic vhdl and verilog reference code examples implementing n -bit-wide single-port and dual-port distributed selectram memory. distributed selectram memory enables many high-speed applications that require relatively small embedded ram blocks, such as fifos, which are close to the logic that uses them. virtex-ii pro distributed selectram memories can be generated using the core generator distributed memory module (v2.0 or later). the user can also generate distributed ram-based asynchronous and synchronous fifos using the core generator. single-port and dual-port ram data flow distributed selectram memory supports the following:  single-port ram with synchronous write and asynchronous read  dual-port ram with one synchronous write and two asynchronous read ports
ug012 (v1.0) january 31, 2002 www.xilinx.com 261 virtex-ii pro platform fpga handbook 1-800-255-7778 distributed selectram memory r as illustrated in the figure 2-50 , the dual port has one read/write port and an independent read port. any read/write operation can occur simultaneously with and independently of a read operation on the other port. write operations the write operation is a single clock-edge operation, with a write enable that is active high by default. when the write enable is low, no data is written into the ram. when the write enable is high, the clock edge latches the write address and writes the data on d into the ram. read operation the read operation is a combinatorial operation. the address port (single or dual port) is asynchronous with an access time equivalent to the logic delay. read during write when new data is synchronously written, the output reflects the data in the memory cell addressed (transparent mode). the timing diagram in figure 2-51 illustrates a write operation, with the previous data read on the output port, before the clock edge and then the new data. figure 2-50: single-port and dual-port distributed selectram d wclk single-port ram o d wclk dual-port ram spo dpo ug002_c2_001_061400 r/w port write read address address address r/w port read port write read read figure 2-51: write timing diagram t read t write previous data d d aa mem(aa) new data t read wclk data_in address write_en data_out ds031_27_041300
262 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r characteristics  a write operation requires only one clock edge.  a read operation requires only the logic access time.  outputs are asynchronous and dependent only on the logic delay.  data and address inputs are latched with the write clock and have a setup-to-clock timing specification. there is no hold time requirement.  for dual-port ram, one address is the write and read address, the other address is an independent read address. library primitives seven library primitives from 16 x 1-bit to 128 x 1-bit are available. four primitives are single-port ram and three primitives are true dual-port ram, as shown in table 2-24 . the input and output data are 1-bit wide. however, several distributed selectram memories can be used to implement wide memory blocks. figure 2-52 shows generic single-port and dual-port distributed selectram primitives. the a and dpra signals are address buses. table 2-24: single-port and dual-port distributed selectram primitive ram size type address inputs ram16x1s 16 bits single-port a3, a2, a1, a0 ram32x1s 32 bits single-port a4, a3, a2, a1, a0 ram64x1s 64 bits single-port a5, a3, a2, a1, a0 ram128x1s 128 bits single-port a6, a4, a3, a2, a1, a0 ram16x1d 16 bits dual-port a3, a2, a1, a0 ram32x1d 32 bits dual-port a4, a3, a2, a1, a0 ram64x1d 64 bits dual-port a5, a4, a3, a2, a1, a0 figure 2-52: single-port and dual-port distributed selectram primitive dpra[#:0] a[#:0] d we wclk ram y x1d spo dpo ug002_c2_003_101600 r/w port read port ram y x1s o a[#:0] d we wclk ram y x8s o[7:0] a[..]+ d[7:0] we wclk
ug012 (v1.0) january 31, 2002 www.xilinx.com 263 virtex-ii pro platform fpga handbook 1-800-255-7778 distributed selectram memory r as shown in table 2-25 , wider library primitives are available for 2-bit, 4-bit, and 8-bit ram. vhdl and verilog instantiation vhdl and verilog instantiations templates are available as examples ( see "vhdl and verilog templates" on page 267 ). in vhdl, each template has a component declaration section and an architecture section. each part of the template should be inserted within the vhdl design file. the port map of the architecture section should include the design signal names. the selectram_ x s templates (with x = 16, 32, 64, or 128) are single-port modules and instantiate the corresponding ram x x1s primitive. selectram_ x d templates (with x = 16, 32, or 64) are dual-port modules and instantiate the corresponding ram x x1d primitive. table 2-25: wider library primitives primitive ram size data inputs address inputs data outputs ram16x2s 16 x 2-bit d1, d0 a3, a2, a1, a0 o1, o0 ram32x2s 32 x 2-bit d1, d0 a4, a3, a2, a1, a0 o1, o0 ram64x2s 64 x 2-bit d1, d0 a5, a4, a3, a2, a1, a0 o1, o0 ram16x4s 16 x 4-bit d3, d2, d1, d0 a3, a2, a1, a0 o3, o2, o1, o0 ram32x4s 32 x 4-bit d3, d2, d1, d0 a4,a3, a2, a1, a0 o3, o2, o1, o0 ram16x8s 16 x 8-bit d <7:0> a3, a2, a1, a0 o <7:0> ram32x8s 32 x 8-bit d <7:0> a4,a3, a2, a1, a0 o <7:0>
264 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r ports signals each distributed selectram port operates independently of the other while reading the same set of memory cells. clock - wclk the clock is used for the synchronous write. the data and the address input pins have setup time referenced to the wclk pin. enable - we the enable pin affects the write functionality of the port. an inactive write enable prevents any writing to memory cells. an active write enable causes the clock edge to write the data input signal to the memory location pointed to by the address inputs. address - a0, a1, a2, a3 (a4, a5, a6) the address inputs select the memory cells for read or write. the width of the port determines the required address inputs. note that the address inputs are not a bus in vhdl or verilog instantiations. data in - d the data input provides the new data value to be written into the ram. data out - o, spo, and dpo the data out o (single-port or spo) and dpo (dual-port) reflects the contents of the memory cells referenced by the address inputs. following an active write clock edge, the data out (o or spo) reflects the newly written data. inverting control pins the two control pins (wclk and we) each have an individual inversion option. any control signal, including the clock, can be active at 0 (negative edge for the clock) or at 1 (positive edge for the clock) without requiring other logic resources. gsr the global set/reset (gsr) signal does not affect distributed selectram modules. attributes content initialization - init with the init attributes, users can define the initial memory contents after configuration. by default distributed selectram memory is initialized with all zeros during the device configuration sequence. the initialization attribute init represents the specified memory contents. each init is a hex-encoded bit vector. ta ble 2 -2 6 shows the length of the init attribute for each primitive. table 2-26: init attributes length primitive template init attribute length ram16x1s selectram_16s 4 digits ram32x1s selectram_32s 8 digits ram64x1s selectram_64s 16 digits ram128x1s selectram_128s 32 digits ram16x1d selectram_16s 4 digits ram32x1d selectram_32s 8 digits ram64x1d selectram_64s 16 digits
ug012 (v1.0) january 31, 2002 www.xilinx.com 265 virtex-ii pro platform fpga handbook 1-800-255-7778 distributed selectram memory r initialization in vhdl or verilog codes distributed selectram memory structures can be initialized in vhdl or verilog code for both synthesis and simulation. for synthesis, the attributes are attached to the distributed selectram instantiation and are copied in the edif output file to be compiled by xilinx alliance series ? tools. the vhdl code simulation uses a generic parameter to pass the attributes. the verilog code simulation uses a defparam parameter to pass the attributes. the distributed selectram instantiation templates (in vhdl and verilog) illustrate these techniques ( see "vhdl and verilog templates" on page 267 ). location constraints the clb has four slices s0, s1, s2 and s3. as an example, in the bottom left clb, the slices have the coordinates shown below: distributed selectram instances can have loc properties attached to them to constrain placement. the ram16x1s primitive fits in any lut of slices s0 or s1. for example, the instance u_ram16 is placed in slice x0y0 with the following loc properties: inst "u_ram16" loc = "slice_x0y0"; the ram16x1d primitive occupies half of two slices, as shown in figure 2-53 . the first slice (output spo) implements the read/write port with the same address a[3:0] for read and write. the second slice implements the second read port with the address dpra[3:0] and is written simultaneously with the first slice to the address a[3:0]. in the same clb module, the dual-port ram16x1d either occupies half of slices s0 (x0y0) and s2 (x1y0), or half of slices s1 (x0y1) and s3 (x1y1). slice s3 slice s2 slice s1 slice s0 x1y1 x1y0 x0y1 x0y0 figure 2-53: ram16x1d placement spo a[3:0] d reg reg slice s0 (or s1) dpo dpra[3:0] reg reg slice s2 (or s3) ug002_c2_004_011002 ram16x1d
266 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r if a dual-port 16 x 2-bit module is built, the two ram16x1d primitives occupy two slices, as long as they share the same clock and write enable, as illustrated in figure 2-54 . a ram32x1s primitive fits in one slice, as shown in figure 2-55 . following the same rules, a ram32x1d primitive fits in two slices, with one slice implementing the read/write port and the second slice implementing the second read port. the ram64x1s primitive occupies two slices and the ram64x1d primitive occupies four slices (one clb element), with two slices implementing the read/write port and two other slices implementing the second read port. the ram64x1s read path is built on the muxf5 and muxf6 multiplexers. the ram128x1s primitive occupies four slices, equivalent to one clb element. distributed selectram placement locations use the slice location naming convention, allowing loc properties to transfer easily from array to array. figure 2-54: two ram16x1d placement figure 2-55: ram32x1s placement spo[0] spo[1] d[1] d[0] reg reg dpo[0] dpo[1] reg reg ram16x1d bit 0 ram16x1d bit 1 slice s0 slice s2 ug002_c2_005_011002 a[3:0] d reg reg virtex-ii slice ug002_c2_006_061300 o a4 f5
ug012 (v1.0) january 31, 2002 www.xilinx.com 267 virtex-ii pro platform fpga handbook 1-800-255-7778 distributed selectram memory r applications creating larger ram structures the memory compiler program generates wider and/or deeper memory structures using distributed selectram instances. along with an edif file for inclusion in a design, this program produces vhdl and verilog instantiation templates and simulation models. ta ble 2 -2 7 shows the generic vhdl and verilog distributed selectram examples provided to implement n -bit-wide memories. by using the read/write port for the write address and the second read port for the read address, a fifo that can read and write simultaneously is easily generated. simultaneous access doubles the effective throughput of the memory. vhdl and verilog templates vhdl and verilog templates are available for all single-port and dual-port primitives. the number in each template indicates the number of bits (for example, selectram_16s is the template for the 16 x 1-bit ram); s indicates single-port, and d indicates dual-port. in vhdl, each template has a component declaration section and an architecture section. each part of the template should be inserted within the vhdl design file. the port map of the architecture section should include the design signal names. the following are single-port templates: - selectram_16s - selectram_32s - selectram_64s - selectram_128s the following are dual-port templates: - selectram_16d - selectram_32d - selectram_64d templates for the selectram_16s module are provided in vhdl and verilog code as examples. table 2-27: vhdl and verilog submodules submodules primitive size type xc2v_ram16xn_s_subm ram16x1s 16 words x n -bit single-port xc2v_ram32xn_s_subm ram32x1s 32 words x n -bit single-port xc2v_ram64xn_s_subm ram64x1s 64 words x n -bit single-port xc2v_ram128xn_s_subm ram128x1s 128 words x n -bit single-port xc2v_ram16xn_d_subm ram16x1d 16 words x n -bit dual-port xc2v_ram32xn_d_subm ram32x1d 32 words x n -bit dual-port xc2v_ram64xn_d_subm ram64x1d 64 words x n -bit dual-port
268 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r vhdl template -- -- module: selectram_16s -- -- description: vhdl instantiation template -- distributed selectram -- single port 16 x 1 -- can be used also for ram16x1s_1 -- -- device: virtex-ii pro family -- --------------------------------------------------------------------- -- -- components declarations: -- component ram16x1s -- pragma translate_off generic ( -- ram initialization ( ? 0 ? by default) for functional simulation: init : bit_vector := x"0000" ); -- pragma translate_on port ( d : in std_logic; we : in std_logic; wclk : in std_logic; a0 : in std_logic; a1 : in std_logic; a2 : in std_logic; a3 : in std_logic; o : out std_logic ); end component; -- --------------------------------------------------------------------- -- -- architecture section: -- -- attributes for ram initialization ("0" by default): attribute init: string; -- attribute init of u_ram16x1s: label is "0000"; -- -- distributed selectram instantiation u_ram16x1s: ram16x1s port map ( d => , -- insert input signal we => , -- insert write enable signal wclk => , -- insert write clock signal a0 => , -- insert address 0 signal a1 => , -- insert address 1 signal a2 => , -- insert address 2 signal a3 => , -- insert address 3 signal o => -- insert output signal ); -- ---------------------------------------------------------------------
ug012 (v1.0) january 31, 2002 www.xilinx.com 269 virtex-ii pro platform fpga handbook 1-800-255-7778 look-up tables as shift registers (srluts) r verilog template // // module: selectram_16s // // description: verilog instantiation template // distributed selectram // single port 16 x 1 // can be used also for ram16x1s_1 // // device: virtex-ii pro family // //------------------------------------------------------------------- // // // syntax for synopsys fpga express // synopsys translate_off defparam //ram initialization ( ? 0 ? by default) for functional simulation: u_ram16x1s.init = 16'h0000; // synopsys translate_on //distributed selectram instantiation ram16x1s u_ram16x1s ( .d(), // insert input signal .we(), // insert write enable signal .wclk(), // insert write clock signal .a0(), // insert address 0 signal .a1(), // insert address 1 signal .a2(), // insert address 2 signal .a3(), // insert address 3 signal .o() // insert output signal ); // synthesis attribute declarations /* synopsys attribute init "0000" */ look-up tables as shift registers (srluts) introduction virtex-ii pro can configure any look-up table (lut) as a 16-bit shift register without using the flip-flops available in each slice. shift-in operations are synchronous with the clock, and output length is dynamically selectable. a separate dedicated output allows the cascading of any number of 16-bit shift registers to create whatever size shift register is needed. each clb resource can be configured using the 8 luts as a 128-bit shift register. this section provides generic vhdl and verilog submodules and reference code examples for implementing from 16-bit up to 128-bit shift registers. these submodules are built from 16-bit shift-register primitives and from dedicated muxf5, muxf6, muxf7, and muxf8 multiplexers. these shift registers enable the development of efficient designs for applications that require delay or latency compensation. shift registers are also useful in synchronous fifo and content-addressable memory (cam) designs. to quickly generate a virtex-ii pro shift
270 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r register without using flip-flops (i.e., using the srl16 element(s)), use the core generator ram-based shift register module. shift register operations data flow each shift register (srl16 primitive) supports:  synchronous shift-in  asynchronous 1-bit output when the address is changed dynamically  synchronous shift-out when the address is fixed in addition, cascadable shift registers (srlc16) support synchronous shift-out output of the last (16th) bit. this output has a dedicated connection to the input of the next srlc16 inside the clb resource. two primitives are illustrated in figure 2-56 . shift operation the shift operation is a single clock-edge operation, with an active high clock enable feature. when enable is high, the input (d) is loaded into the first bit of the shift register, and each bit is shifted to the next highest bit position. in a cascadable shift register configuration (such as srlc16), the last bit is shifted out on the q15 output. the bit selected by the 4-bit address appears on the q output. dynamic read operation the q output is determined by the 4-bit address. each time a new address is applied to the 4-input address pins, the new bit position value is available on the q output after the time delay to access the lut. this operation is asynchronous and independent of the clock and clock enable signals. figure 2-56: shift register and cascadable shift register dq address ce clk srl16e ug002_c2_007_061400 dq address ce clk srlc16e dq q15 q15 address ce clk srlc16e
ug012 (v1.0) january 31, 2002 www.xilinx.com 271 virtex-ii pro platform fpga handbook 1-800-255-7778 look-up tables as shift registers (srluts) r figure 2-57 illustrates the shift and dynamic read operations. static read operation if the 4-bit address is fixed, the q output always uses the same bit position. this mode implements any shift register length up 1 to 16 bits in one lut. shift register length is (n+1) where n is the input address. the q output changes synchronously with each shift operation. the previous bit is shifted to the next position and appears on the q output. characteristics  a shift operation requires one clock edge.  dynamic-length read operations are asynchronous (q output).  static-length read operations are synchronous (q output).  the data input has a setup-to-clock timing specification.  in a cascadable configuration, the q15 output always contains the last bit value.  the q15 output changes synchronously after each shift operation. library primitives and submodules eight library primitives are available that offer optional clock enable (ce), inverted clock (clk ) and cascadable output (q15) combinations. figure 2-57: shift- and dynamic-length timing diagrams tshift taccess 7 position (7) position (10) 10 taccess clk ce d q q15 q address shift timing diagram dynamic length timing diagram ug002_c2_011_061300
272 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r ta ble 2 -2 8 lists all of the available primitives for synthesis and simulation. in addition to the 16-bit primitives, three submodules that implement 32-bit, 64-bit, and 128-bit cascadable shift registers are provided in vhdl and verilog code. ta ble 2 -2 9 lists available submodules. the submodules are based on srlc16e primitives, which are associated with dedicated multiplexers (muxf5, muxf6, and so forth). this implementation allows a fast static- and dynamic-length mode, even for very large shift registers. figure 2-58 represents the cascadable shift registers (32-bit and 64-bit) implemented by the submodules in table 2-29 . table 2-28: shift register primitives primitive length control address inputs output srl16 16 bits clk a3,a2,a1,a0 q srl16e 16 bits clk, ce a3,a2,a1,a0 q srl16_1 16 bits clk a3,a2,a1,a0 q srl16e_1 16 bits clk , ce a3,a2,a1,a0 q srlc16 16 bits clk a3,a2,a1,a0 q, q15 srlc16e 16 bits clk, ce a3,a2,a1,a0 q, q15 srlc16_1 16 bits clk a3,a2,a1,a0 q, q15 srlc16e_1 16 bits clk , ce a3,a2,a1,a0 q, q15 table 2-29: shift register submodules submodule length control address inputs output srlc32e_subm 32 bits clk, ce a4,a3,a2,a1,a0 q, q31 srlc64e_subm 64 bits clk, ce a5, a4, a3,a2,a1,a0 q, q63 srlc128e_subm 128 bits clk, ce a6, a5, a4, a3,a2,a1,a0 q, q127
ug012 (v1.0) january 31, 2002 www.xilinx.com 273 virtex-ii pro platform fpga handbook 1-800-255-7778 look-up tables as shift registers (srluts) r a 128-bit shift register is built on the same scheme and uses muxf7 (address input a6). all clock enable (ce) and clock (clk) inputs are connected to one global clock enable and one clock signal per submodule. if a global static- or dynamic-length mode is not required, the srlc16e primitive can be cascaded without multiplexers. figure 2-58: shift-register submodules (32-bit, 64-bit) dd 4 5 a3, a2, a1, a0 a4 add. 4 a[3:0] ce q q q15 q15 srlc16e ug002_c2_008_061300 d a[3:0] ce q srlc16e 32-bit shift register 64-bit shift register muxf5 q31 d 4 4 4 6 a3, a2, a1, a0 a5, a4 a5 a4 add. 4 muxf5 muxf5 d a[3:0] ce q q15 q15 srlc16e d a[3:0] ce q srlc16e d a[3:0] ce q q15 q15 srlc16e d a[3:0] ce q srlc16e muxf6 q q63
274 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r initialization in vhdl and verilog code a shift register can be initialized in vhdl or verilog code for both synthesis and simulation. for synthesis, the attribute is attached to the 16-bit shift register instantiation and is copied in the edif output file to be compiled by xilinx alliance series tools. the vhdl code simulation uses a generic parameter to pass the attributes. the verilog code simulation uses a defparam parameter to pass the attributes. the v2_srl16e shift register instantiation code examples (in vhdl and verilog) illustrate these techniques ( see "vhdl and verilog templates" on page 278 ). v2_srl16e.vhd and .v files are not a part of the documentation. port signals clock - clk either the rising edge or the falling edge of the clock is used for the synchronous shift-in. the data and clock enable input pins have set-up times referenced to the chosen edge of clk. data in - d the data input provides new data (one bit) to be shifted into the shift register. clock enable - ce (optional) the clock enable pin affects shift functionality. an inactive clock enable pin does not shift data into the shift register and does not write new data. activating the clock enable allows the data in (d) to be written to the first location and all data to be shifted by one location. when available, new data appears on output pins (q) and the cascadable output pin (q15). address - a0, a1, a2, a3 address inputs select the bit (range 0 to 15) to be read. the n th bit is available on the output pin (q). address inputs have no effect on the cascadable output pin (q15), which is always the last bit of the shift register (bit 15). data out - q the data output q provides the data value (1 bit) selected by the address inputs. data out - q15 (optional) the data output q15 provides the last bit value of the 16-bit shift register. new data becomes available after each shift-in operation. inverting control pins the two control pins (clk, ce) have an individual inversion option. the default is the rising clock edge and active high clock enable. gsr the global set/reset (gsr) signal has no impact on shift registers. attributes content initialization - init the init attribute defines the initial shift register contents. the init attribute is a hex- encoded bit vector with four digits (0000).the left-most hexadecimal digit is the most significant bit. by default the shift register is initialized with all zeros during the device configuration sequence, but any other configuration value can be specified.
ug012 (v1.0) january 31, 2002 www.xilinx.com 275 virtex-ii pro platform fpga handbook 1-800-255-7778 look-up tables as shift registers (srluts) r location constraints each clb resource has four slices: s0, s1, s2, and s3. as an example, in the bottom left clb resource, each slice has the coordinates shown in table 2-30 . to constrain placement, shift register instances can have loc properties attached to them. each 16-bit shift register fits in one lut. a 32-bit shift register in static or dynamic address mode fits in one slice (two luts and one muxf5). this shift register can be placed in any slice. a 64-bit shift register in static or dynamic address mode fits in two slices. these slices are either s0 and s1, or s2 and s3. figure 2-59 illustrates the position of the four slices in a clb resource. the dedicated clb shift chain runs from the top slice to the bottom slice. the data input pin must either be in slice s1 or in s3. the address selected as the output pin (q) is the muxf6 output. a 128-bit shift register in static or dynamic address mode fits in a four-slice clb resource. the data input pin has to be in slice s3. the address selected as the output pin (q) is the muxf7 output. table 2-30: slice coordinates in the bottom-left clb resource slice s3 slice s2 slice s1 slice s0 x1y1 x1y0 x0y1 x0y0
276 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r fully synchronous shift registers all shift-register primitives and submodules do not use the register(s) available in the same slice(s). to implement a fully synchronous read and write shift register, output pin q must be connected to a flip-flop. both the shift register and the flip-flop share the same clock, as shown in figure 2-60 . figure 2-59: shift register placement ug002_c2_009_120400 lut slice s3 f8 d lut lut slice s2 f6 b lut b a a lut slice s1 f7 f5 f5 q63 f5 f5 lut lut slice s0 f6 lut (output srlc128e when 2 f6 outputs are connected) (output srlc64e) clb srlc64e
ug012 (v1.0) january 31, 2002 www.xilinx.com 277 virtex-ii pro platform fpga handbook 1-800-255-7778 look-up tables as shift registers (srluts) r this configuration provides a better timing solution and simplifies the design. because the flip-flop must be considered to be the last register in the shift-register chain, the static or dynamic address should point to the desired length minus one. if needed, the cascadable output can also be registered in a flip-flop. static-length shift registers the cascadable16-bit shift register implements any static length mode shift register without the dedicated multiplexers (muxf5, muxf6, ? ). figure 2-61 illustrates a 40-bit shift register. only the last srlc16e primitive needs to have its address inputs tied to ? 0111 ? . alternatively, shift register length can be limited to 39 bits (address tied to ? 0110 ? ) and a flip-flop can be used as the last register. (in an srlc16e primitive, the shift register length is the address input + 1.) figure 2-60: fully synchronous shift register dq q15 address ce (write enable) clk srlc16e q d synchronous output ug002_c2_010_101600 ff figure 2-61: 40-bit static-length shift register d d q15 srlc16 lut d q15 srlc16 lut d q out (40-bit srl) a[3:0] q15 srlc16 lut "0111" 4 d d q15 srlc16 lut d q15 srlc16 lut d q out (40-bit srl) a[3:0] q15 srlc16 dq lut ff "0110" ug002_c2_012_032901
278 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r vhdl and verilog instantiation vhdl and verilog instantiation templates are available for all primitives and submodules. in vhdl, each template has a component declaration section and an architecture section. each part of the template should be inserted within the vhdl design file. the port map of the architecture section should include the design signal names. the shiftregister_c_x (with x = 16, 32, 64, 128, or 256) templates are cascadable modules and instantiate the corresponding srlcxe primitive (16) or submodule (32, 64, 128, or 256). the shiftregister_16 template can be used to instantiate an srl16 primitive. vhdl and verilog templates in template names, the number indicates the number of bits (for example, shift_select_16 is the template for the 16-bit shift register) and the ? c ? extension means the template is cascadable. the following are templates for primitives:  shift_register_16  shift_register_16_c the following are templates for submodules:  shift_register_32_c (submodule: srlc32e_subm)  shift_register_64_c (submodule: srlc64e_subm)  shift_register_128_c (submodule: srlc128e_subm) the corresponding submodules have to be synthesized with the design. templates for the shift_register_16_c module are provided in vhdl and verilog code as an example. vhdl template: -- module: shift_register_c_16 -- description: vhdl instantiation template -- cascadable 16-bit shift register with enable (srlc16e) -- device: virtex-ii pro family --------------------------------------------------------------------- -- components declarations: -- component srlc16e -- pragma translate_off generic ( -- shift register initialization ("0" by default) for functional simulation: init : bit_vector := x"0000" ); -- pragma translate_on port ( d : in std_logic; ce : in std_logic; clk : in std_logic; a0 : in std_logic; a1 : in std_logic; a2 : in std_logic; a3 : in std_logic; q : out std_logic; q15 : out std_logic ); end component; -- architecture section:
ug012 (v1.0) january 31, 2002 www.xilinx.com 279 virtex-ii pro platform fpga handbook 1-800-255-7778 large multiplexers r -- -- attributes for shift register initialization ( ? 0 ? by default): attribute init: string; -- attribute init of u_srlc16e: label is ? 0000 ? ; -- -- shiftregister instantiation u_srlc16e: srlc16e port map ( d => , -- insert input signal ce => , -- insert clock enable signal (optional) clk => , -- insert clock signal a0 => , -- insert address 0 signal a1 => , -- insert address 1 signal a2 => , -- insert address 2 signal a3 => , -- insert address 3 signal q => , -- insert output signal q15 => -- insert cascadable output signal ); verilog template: // module: shift_register_16 // description: verilog instantiation template // cascadable 16-bit shift register with clock enable (srlc16e) // device: virtex-ii pro family //------------------------------------------------------------------- // syntax for synopsys fpga express // synopsys translate_off defparam //shift register initialization ("0" by default) for functional simulation: u_srlc16e.init = 16'h0000; // synopsys translate_on //selectshiftregister-ii instantiation srlc16e u_srlc16e ( .d(), .a0(), .a1(), .a2(), .a3(), .clk(), .ce(), .q(), .q15() ); // synthesis attribute declarations /* synopsys attribute init "0000" */ large multiplexers introduction virtex-ii pro slices contain dedicated two-input multiplexers (one muxf5 and one muxfx per slice). these multiplexers combine the 4-input lut outputs or the outputs of
280 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r other multiplexers. using the multiplexers muxf5, muxf6, muxf7 and muxf8 allows to combine 2, 4, 8 and 16 luts. specific routing resources are associated with these 2-input multiplexers to guarantee a fast implementation of any combinatorial function built upon luts and muxfx. the combination of the luts and the muxfx offers an unique solution to the design of wide-input functions. this section illustrates the implementation of large multiplexers up to 32:1. any virtex-ii pro slice can implement a 4:1 multiplexer, any clb can implement a 16:1 multiplexer, and 2 clbs can implement a 32:1 multiplexer. such multiplexers are just one example of wide-input combinatorial function taking advantage of the muxfx feature. many other logic functions can be mapped in the lut and muxfx features. this section provides generic vhdl and verilog reference code implementing multiplexers. these submodules are built from luts and the dedicated muxf5, muxf6, muxf7, and muxf8 multiplexers. to automatically generate large multiplexers using these dedicated elements, use the core generator bit multiplexer and bus multiplexer modules. for applications like comparators, encoder-decoders or ? case ? statement in vhdl or verilog, these resources offer an optimal solution. virtex-ii pro clb resources slice multiplexers each virtex-ii pro slice has a muxf5 to combine the outputs of the 2 luts and an extra muxfx. figure 2-62 illustrates a combinatorial function with up to 9 inputs in one slice. each virtex-ii pro clb contains 4 slices. the second muxfx implements a muxf6, muxf7 or muxf8 according to the position of the slice in the clb. these muxfx are designed to allow luts combination up to 16 luts in two adjacent clbs. figure 2-62: luts and muxf5 in a slice lut lut reg reg muxfx any slice muxf5 4 4 s_f5 out_f5 ug002_c2_016_081500
ug012 (v1.0) january 31, 2002 www.xilinx.com 281 virtex-ii pro platform fpga handbook 1-800-255-7778 large multiplexers r figure 2-63 shows the relative position of the slices in the clb. slices s0 and s2 have a muxf6, designed to combine the outputs of two muxf5 resources. figure 2-64 illustrates a combinatorial function up to 18 inputs in the slices s0 and s1, or in the slices s2 and s3. figure 2-63: slice positions in a clb figure 2-64: luts and (muxf5 and muxf6) in two slices muxf8 slice s3 muxf6 slice s2 muxf7 slice s1 muxf6 slice s0 clb ug002_c2_017_081600 lut lut reg reg muxf6 slice s0 (or s2) slice s1 (or s3) muxf5 4 4 s_f5 s_f6 out_f6 lut lut reg reg muxfx muxf5 4 4 ug002_c2_018_081800
282 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r the slice s1 has a muxf7, designed to combine the outputs of two muxf6. figure 2-65 illustrates a combinatorial function up to 35 inputs in a virtex-ii pro clb. figure 2-65: luts and (muxf5, muxf6, and muxf7) in one clb lut lut reg reg muxf6 slice s2 slice s3 muxf5 4 4 s_f5 s_f5 s_f6 lut lut reg reg muxf8 muxf5 4 4 ug002_c2_019_081600 lut lut reg reg muxf6 slice s0 slice s1 muxf5 4 4 s_f5 s_f5 s_f6 lut lut reg reg muxf7 out_f muxf5 4 4 s_f7
ug012 (v1.0) january 31, 2002 www.xilinx.com 283 virtex-ii pro platform fpga handbook 1-800-255-7778 large multiplexers r the slice s3 of each clb has a muxf8. combinatorial functions of up to 68 inputs fit in two clbs as shown in figure 2-66 . the outputs of two muxf7 are combined through dedicated routing resources between two adjacent clbs in a column. figure 2-66: muxf8 combining two adjacent clbs muxf8 out_f8 slice s3 muxf6 slice s2 muxf7 slice s1 muxf6 slice s0 clb muxf8 slice s3 muxf6 slice s2 muxf7 slice s1 muxf6 slice s0 clb ug002_c2_020_081600
284 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r wide-input multiplexers each lut can implement a 2:1 multiplexer. in each slice, the muxf5 and two luts can implement a 4:1 multiplexer. as shown in figure 2-67 , the muxf6 and two slices can implement a 8:1 multiplexer. the mufxf7 and the four slices of any clb can implement a 16:1 and the muxf8 and two clbs can implement a 32:1 multiplexer. characteristics  implementation in one level of logic (lut) and dedicated muxfx  full combinatorial path figure 2-67: 8:1 and 16:1 multiplexers lut data[0] data[1] data[7:0] data[15:8] 16:1 output select[2:0] select[3] lut data[2] data[3] lut 8:1 (s2 & s3) 8:1 (s0 & s1) data[4] 8:1 output data[5] lut f5 f6 f7 data[6] data[7] select[0] select[1] select[2] f5 8:1 mux 16:1 mux s0 clb s1 ug002_c2_015_081800
ug012 (v1.0) january 31, 2002 www.xilinx.com 285 virtex-ii pro platform fpga handbook 1-800-255-7778 large multiplexers r library primitives and submodules four library primitives are available that offer access to the dedicated muxfx in each slice. in the example shown in table 2-31 , muxf7 is available only in slice s1. in addition to the primitives, five submodules that implement multiplexers from 2:1 to 32:1 are provided in vhdl and verilog code. synthesis tools can automatically infer the above primitives (muxf5, muxf6, muxf7, and muxf8); however, the submodules described in this section used instantiation of the new muxfx to guarantee an optimized result. ta ble 2 -3 2 lists available submodules: port signals data in - data_i the data input provides the data to be selected by the select_i signal(s). control in - select_i the select input signal or bus determines the data_i signal to be connected to the output data_o. for example, the mux_4_1_subm multiplexer has a 2-bit select_i bus and a 4-bit data_i bus. ta ble 2 -3 3 shows the data_i selected for each select_i value. data out - data_o the data output o provides the data value (1 bit) selected by the control inputs. table 2-31: muxfx resources primitive slice control input output muxf5 s0, s1, s2, s3 s i0, i1 o muxf6 s0, s2 s i0, i1 o muxf7 s1 s i0, i1 o muxf8 s3 s i0, i1 o table 2-32: available submodules submodule multiplexer control input output mux_2_1_subm 2:1 select_i data_i[1:0] data_o mux_4_1_subm 4:1 select_i[1:0] data_i[3:0] data_o mux_8_1_subm 8:1 select_i[2:0] data_i[8:0] data_o mux_16_1_subm 16:1 select_i[3:0] data_i[15:0] data_o mux_32_1_subm 32:1 select_i[4:0] data_i[31:0] data_o table 2-33: selected inputs select_i[1:0] data_o 0 0 data_i[0] 0 1 data_i[1] 1 0 data_i[2] 1 1 data_i[3]
286 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r applications multiplexers are used in various applications. these are often inferred by synthesis tools when a ? case ? statement is used (see the example below). comparators, encoder-decoders and wide-input combinatorial functions are optimized when they are based on one level of luts and dedicated muxfx resources of the virtex-ii pro clbs. vhdl and verilog instantiation the primitives (muxf5, muxf6, and so forth) can be instantiated in vhdl or verilog code, to design wide-input functions. the submodules (mux_2_1_subm, mux_4_1_subm, and so forth) can be instantiated in vhdl or verilog code to implement multiplexers. however the corresponding submodule must be added to the design directory as hierarchical submodule. for example, if a module is using the mux_16_1_subm, the mux_16_1_subm.vhd file (vhdl code) or mux_16_1_subm.v file (verilog code) must be compiled with the design source code. the submodule code can also be ? cut and pasted ? into the designer source code. vhdl and verilog submodules vhdl and verilog submodules are available to implement multiplexers up to 32:1. they illustrate how to design with the muxfx resources. when synthesis infers the corresponding muxfx resource(s), the vhdl or verilog code is behavioral code ( ? case ? statement). otherwise, the equivalent ? case ? statement is provided in comments and the correct muxfx are instantiated. however, most synthesis tools support the inference of all of the muxfx. the following examples can be used as guidelines for designing other wide-input functions. the following submodules are available:  mux_2_1_subm (behavioral code)  mux_4_1_subm  mux_8_1_subm  mux_16_1_subm  mux_32_1_subm the corresponding submodules have to be synthesized with the design the submodule mux_16_1_subm in vhdl and verilog are provided as example. vhdl template -- module: mux_16_1_subm -- description: multiplexer 16:1 -- -- device: virtex-ii pro family --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- syntax for synopsys fpga express -- pragma translate_off library unisim; use unisim.vcomponents.all; -- pragma translate_on entity mux_16_1_subm is port ( data_i: in std_logic_vector (15 downto 0); select_i: in std_logic_vector (3 downto 0); data_o: out std_logic );
ug012 (v1.0) january 31, 2002 www.xilinx.com 287 virtex-ii pro platform fpga handbook 1-800-255-7778 large multiplexers r end mux_16_1_subm; architecture mux_16_1_subm_arch of mux_16_1_subm is -- component declarations: component muxf7 port ( i0: in std_logic; i1: in std_logic; s: in std_logic; o: out std_logic ); end component; -- -- signal declarations: signal data_msb : std_logic; signal data_lsb : std_logic; -- begin -- -- if synthesis tools support muxf7 : --select_process: process (select_i, data_i) --begin --case select_i is -- when "0000" => data_o <= data_i (0); -- when "0001" => data_o <= data_i (1); -- when "0010" => data_o <= data_i (2); -- when "0011" => data_o <= data_i (3); -- when "0100" => data_o <= data_i (4); -- when "0101" => data_o <= data_i (5); -- when "0110" => data_o <= data_i (6); -- when "0111" => data_o <= data_i (7); -- when "1000" => data_o <= data_i (8); -- when "1001" => data_o <= data_i (9); -- when "1010" => data_o <= data_i (10); -- when "1011" => data_o <= data_i (11); -- when "1100" => data_o <= data_i (12); -- when "1101" => data_o <= data_i (13); -- when "1110" => data_o <= data_i (14); -- when "1111" => data_o <= data_i (15); -- when others => data_o <= ? x ? ; --end case; --end process select_process; -- -- if synthesis tools do not support muxf7 : select_process_lsb: process (select_i, data_i) begin case select_i (2 downto 0) is when "000" => data_lsb <= data_i (0); when "001" => data_lsb <= data_i (1); when "010" => data_lsb <= data_i (2); when "011" => data_lsb <= data_i (3); when "100" => data_lsb <= data_i (4); when "101" => data_lsb <= data_i (5); when "110" => data_lsb <= data_i (6); when "111" => data_lsb <= data_i (7); when others => data_lsb <= ? x ? ; end case; end process select_process_lsb; -- select_process_msb: process (select_i, data_i) begin case select_i (2 downto 0) is
288 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r when "000" => data_msb <= data_i (8); when "001" => data_msb <= data_i (9); when "010" => data_msb <= data_i (10); when "011" => data_msb <= data_i (11); when "100" => data_msb <= data_i (12); when "101" => data_msb <= data_i (13); when "110" => data_msb <= data_i (14); when "111" => data_msb <= data_i (15); when others => data_msb <= ? x ? ; end case; end process select_process_msb; -- -- muxf7 instantiation u_muxf7: muxf7 port map ( i0 => data_lsb, i1 => data_msb, s => select_i (3), o => data_o ); -- end mux_16_1_subm_arch; -- verilog template // module: mux_16_1_subm // // description: multiplexer 16:1 // device: virtex-ii pro family //------------------------------------------------------------------- // module mux_16_1_subm (data_i, select_i, data_o); input [15:0]data_i; input [3:0]select_i; output data_o; wire [2:0]select; reg data_lsb; reg data_msb; assign select[2:0] = select_i[2:0]; /* //if synthesis tools supports muxf7 : always @ (data_i or select_i) case (select_i) 4 ? b0000 : data_o <= data_i[0]; 4 ? b0001 : data_o <= data_i[1]; 4 ? b0010 : data_o <= data_i[2]; 4 ? b0011 : data_o <= data_i[3]; 4 ? b0100 : data_o <= data_i[4]; 4 ? b0101 : data_o <= data_i[5]; 4 ? b0110 : data_o <= data_i[6]; 4 ? b0111 : data_o <= data_i[7]; 4 ? b1000 : data_o <= data_i[8]; 4 ? b1001 : data_o <= data_i[9]; 4 ? b1010 : data_o <= data_i[10]; 4 ? b1011 : data_o <= data_i[11];
ug012 (v1.0) january 31, 2002 www.xilinx.com 289 virtex-ii pro platform fpga handbook 1-800-255-7778 sum of products (sop) logic r 4 ? b1100 : data_o <= data_i[12]; 4 ? b1101 : data_o <= data_i[13]; 4 ? b1110 : data_o <= data_i[14]; 4 ? b1111 : data_o <= data_i[15]; default : data_o <= 1 ? bx; endcase */ always @ (select or data_i) case (select) 3 ? b000 : data_lsb <= data_i[0]; 3 ? b001 : data_lsb <= data_i[1]; 3 ? b010 : data_lsb <= data_i[2]; 3 ? b011 : data_lsb <= data_i[3]; 3 ? b100 : data_lsb <= data_i[4]; 3 ? b101 : data_lsb <= data_i[5]; 3 ? b110 : data_lsb <= data_i[6]; 3 ? b111 : data_lsb <= data_i[7]; default : data_lsb <= 1 ? bx; endcase always @ (select or data_i) case (select) 3 ? b000 : data_msb <= data_i[8]; 3 ? b001 : data_msb <= data_i[9]; 3 ? b010 : data_msb <= data_i[10]; 3 ? b011 : data_msb <= data_i[11]; 3 ? b100 : data_msb <= data_i[12]; 3 ? b101 : data_msb <= data_i[13]; 3 ? b110 : data_msb <= data_i[14]; 3 ? b111 : data_msb <= data_i[15]; default : data_msb <= 1 ? bx; endcase // muxf7 instantiation muxf7 u_muxf7 (.i0(data_lsb), .i1(data_msb), .s(select_i[3]), .o(data_o) ); endmodule // */ sum of products (sop) logic introduction virtex-ii pro slices contain a dedicated two-input multiplexer (muxcy) and a two-input or gate (orcy) to perform operations involving wide and and or gates. these combine the four-input lut outputs. these gates can be cascaded in a chain to provide the wide and functionality across slices. the output from the cascaded and gates can then be combined with the dedicated orcy to produce the sum of products (sop).
290 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r virtex-ii pro clb resources each virtex-ii pro slice has a muxcy, which uses the output from the luts as a select signal. depending on the width of data desired, several slices can be used to provide the sop output. figure 2-68 illustrates the logic involved in designing a 16-input and gate. it utilizes the 4-input lut to provide the necessary select signal for the muxcy. only when all of the input signals are high, can the v cc at the bottom reach the output. this use of carry logic helps to perform and functions at high speed and saves logic resources. the output from the chain of and gates is passed as one of the inputs of the dedicated or gate, orcy. to calculate the sop, these and chains can be cascaded vertically across several clbs, depending on the width of the input data. figure 2-69 illustrates how the and outputs are then passed in through the orcy gates in a horizontal cascade, the sum of which is the sum of products. figure 2-68: implementing a 16-bit wide and gate using muxcy & orcy muxcy 4 muxcy 4 01 01 01 muxcy 4 slice slice lut ug002_c2_51_120400 lut lut v cc muxcy 4 01 lut orcy
ug012 (v1.0) january 31, 2002 www.xilinx.com 291 virtex-ii pro platform fpga handbook 1-800-255-7778 sum of products (sop) logic r port signals and_width parameter the width of each and gate used in the cascade. prod_term parameter the number of and gates used along each vertical cascade. and_in parameter data input to the and gates. the total width of data is calculated from the product of and_width and prod_term sop_out parameter the sum of products (sop) output data from the cascade chain. applications these logic gates can be used in various applications involving very wide and gates and sum of products (sop) functions. figure 2-69: 64-bit input sop design muxcy 4 muxcy 4 slice 1 ug002_c2_42_120400 orcy lut lut muxcy 4 muxcy 4 slice 0 vcc lut lut muxcy 4 muxcy 4 slice 3 orcy lut lut muxcy 4 muxcy 4 slice 2 vcc lut lut sop clb muxcy 4 muxcy 4 slice 1 orcy lut lut muxcy 4 muxcy 4 slice 0 vcc lut lut muxcy 4 muxcy 4 slice 3 orcy lut lut muxcy 4 muxcy 4 slice 2 vcc lut lut clb
292 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r vhdl and verilog instantiation to implement wide-input and functions, muxcy and orcy primitives can be instantiated in vhdl or verilog code. the submodule code provided can be used to implement wide-input and gates for any width of input data. vhdl and verilog submodules vhdl and verilog submodules are available to implement the cascade chain of wide- input and gates and or gates to calculate the sum of products (sop). the vhdl module provided uses a generic case, where the width of data and the product terms can be specified in the case. the verilog module provides a 64-bit input example, using four wide and chains, each of which handle 16 bits of data. vhdl templates -- module : and_chain -- description : 16 input and gate -- -- device : virtex-ii pro family --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; --library unisim; --use unisim.vcomponents.all; entity and_chain is generic ( input_width : integer); --must be a 4x value port ( data_in : in std_logic_vector( input_width-1 downto 0); carry_in : in std_logic; out_andor_chain : out std_logic); end and_chain; architecture and_chain_arch of and_chain is component orcy port( i : std_logic; ci : in std_logic; o : out std_logic); end component; component and_logic port( sel_data : in std_logic_vector(3 downto 0); data_cin : in std_logic; data_out : out std_logic); end component; signal vcc, gnd : std_logic; signal cout : std_logic_vector(input_width/4 downto 0); signal out_and_chain : std_logic; begin vcc <= ? 1 ? ; gnd <= ? 0 ? ; --initialization of first input for muxcy cout(0) <= vcc; and_chain_x : for i in (input_width/4) - 1 downto 0 generate
ug012 (v1.0) january 31, 2002 www.xilinx.com 293 virtex-ii pro platform fpga handbook 1-800-255-7778 sum of products (sop) logic r and_logic_inst : and_logic port map ( sel_data => data_in((4 * i + 3) downto (4 * i)), data_cin => cout(i), data_out => cout(i + 1)); end generate; out_and_chain <= cout(input_width/4); orcy_inst : orcy port map( i => out_and_chain, ci => carry_in, o => out_andor_chain); end and_chain_arch; --------------------------------------------------------------------- -- module and_logic -- description : 4-input and gate -- -- device : virtex-ii pro family --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; --library unisim; --use unisim.vcomponents.all; entity and_logic is port( sel_data : in std_logic_vector(3 downto 0); -- data for select signal for muxcy from lut data_cin : in std_logic; -- result from previous stage data_out : out std_logic); end and_logic; architecture and_logic_arch of and_logic is component muxcy port( di : in std_logic; ci : in std_logic; s : in std_logic; o : out std_logic); end component; signal gnd : std_logic; signal sel:std_logic; begin gnd <= ? 0 ? ; sel <= sel_data(0) and sel_data(1) and sel_data(2) and sel_data(3); --wide and gate using muxcy mux : muxcy port map ( di => gnd, ci => data_cin, s => sel, o => data_out); end and_logic_arch;
294 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r --------------------------------------------------------------------- -- module : sop_subm -- description : implementing sop using muxcy and orcy -- -- device : virtex-ii pro family --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; --library unisim; --use unisim.vcomponents.all; entity sop_subm is generic( and_width : integer :=16 ; prod_term : integer := 4 ); port( and_in : in std_logic_vector(and_width * prod_term - 1 downto 0); sop_out : out std_logic); end sop_subm; architecture sop_subm_arch of sop_subm is component and_chain generic ( input_width : integer); --must be a 4x value port ( data_in : in std_logic_vector( input_width-1 downto 0); carry_in : in std_logic; out_andor_chain : out std_logic); end component; signal vcc, gnd : std_logic; signal carry : std_logic_vector(prod_term downto 0); begin vcc <= ? 1 ? ; gnd <= ? 0 ? ; carry(0) <= gnd; andor_inst : for i in 0 to (prod_term - 1) generate and_chainx : and_chain generic map( input_width => and_width) port map( data_in => and_in((and_width * i + (and_width -1)) downto (and_width * i)), carry_in => carry(i), out_andor_chain => carry(i + 1)); end generate; sop_out <= carry(prod_term); end sop_subm_arch;
ug012 (v1.0) january 31, 2002 www.xilinx.com 295 virtex-ii pro platform fpga handbook 1-800-255-7778 sum of products (sop) logic r verilog templates // module : and_chain // description : 16 input and gate // // device : virtex-ii family //------------------------------------------------------------------- module and_chain(data_in, carry_in, out_andor_chain); input [15:0] data_in; input carry_in; output out_andor_chain; wire vcc = 1 ? b1; wire out_and_chain; wire dat_out1, data_out2, data_out3; and_logic_or u4(.sel_data(data_in[15:12]), .data_cin(data_out3), .carry_in(carry_in), .data_out(out_andor_chain)); and_logic u3(.sel_data(data_in[11:8]), .data_cin(data_out2), .data_out(data_out3)); and_logic u2(.sel_data(data_in[7:4]), .data_cin(data_out1), .data_out(data_out2)); and_logic u1(.sel_data(data_in[3:0]), .data_cin(vcc), .data_out(data_out1)); endmodule //------------------------------------------------------------------- // module and_logic // description : 4-input and gate // // device : virtex-ii family //------------------------------------------------------------------- // module : init_and // module and_logic(sel_data, data_cin, data_out); input[3:0] sel_data; input data_cin; output data_out; wire gnd = 1 ? b0; wire vcc = 1 ? b1; wire and_out; assign and_out = sel_data[3] & sel_data[2] & sel_data[1] & sel_data[0]; muxcy muxcy_inst (.di(gnd), .ci(data_cin), .s(and_out), .o(data_out)); endmodule // module and_logic + orcy module and_logic_or(sel_data, data_cin, carry_in, data_out); input[3:0] sel_data; input data_cin; input carry_in; output data_out; wire data_mux_out; wire gnd = 1 ? b0; wire vcc = 1 ? b1; wire and_out; assign and_out = sel_data[3] & sel_data[2] & sel_data[1] & sel_data[0]; muxcy muxcy_inst (.di(gnd), .ci(data_cin), .s(and_out), .o(data_mux_out)) /* synthesis rloc="x0y0" */; orcy u5(.i(carry_in), .ci(data_mux_out), .o(data_out)) /* synthesis rloc="x0y0" */; endmodule
296 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r //------------------------------------------------------------------- // module : sop_subm // description : implementing sop using muxcy and orcy // // device : virtex-ii family //------------------------------------------------------------------- module sop_subm(and_in, sop_out); input [63:0] and_in; output sop_out; wire out_andor_chain1, out_andor_chain2, out_andor_chain3; wire gnd = 1 ? b0; and_chain u4(.data_in(and_in[63:48]), .carry_in(out_andor_chain3), .out_andor_chain(sop_out)); and_chain u3(.data_in(and_in[47:32]), .carry_in(out_andor_chain2), .out_andor_chain(out_andor_chain3)); and_chain u2(.data_in(and_in[31:16]), .carry_in(out_andor_chain1), .out_andor_chain(out_andor_chain2)); and_chain u1(.data_in(and_in[15:0]), .carry_in(gnd), .out_andor_chain(out_andor_chain1)); endmodule embedded multipliers introduction virtex-ii pro devices feature a large number of embedded 18-bit x 18-bit two ? s- complement embedded multipliers. the embedded multipliers offer fast, efficient means to create 18-bit signed by 18-bit signed multiplication products. the multiplier blocks share routing resources with the block selectram memory, allowing for increased efficiency for many applications. cascading of multipliers can be implemented with additional logic resources in local virtex-ii pro slices. applications such as signed-signed, signed-unsigned, and unsigned-unsigned multiplication, logical, arithmetic, and barrel shifters, two ? s-complement and magnitude return are easily implemented. using the core generator, the designer can quickly generate multipliers that make use of the embedded 18-bit x 18-bit two ? s-complement multipliers (v2.0 or later) of the multiplier core for virtex-ii pro devices. tw o ? s-complement signed multiplier data flow each embedded multiplier block (mult18x18 primitive) supports two independent dynamic data input ports: 18-bit signed or 17-bit unsigned. the mult18x18 primitive is illustrated in figure 2-70 .
ug012 (v1.0) january 31, 2002 www.xilinx.com 297 virtex-ii pro platform fpga handbook 1-800-255-7778 embedded multipliers r in addition, efficient cascading of multipliers up to 35-bit x 35-bit signed can be accomplished by using 4 embedded multipliers, one 36-bit adder, and one 53-bit adder. see figure 2-71 . library primitives and submodules one library primitive (mult18x18) is available. table 2-34 lists the attributes of this primitive. figure 2-70: embedded multiplier a mult18x18 ug002_c2_025_082100 b p 18 18 36 table 2-34: embedded multiplier primitive primitive a width b width p width signed/unsigned mult18x18181836signed (2 ? s complement)
298 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r in addition to the primitive, 15 submodules that implement various widths of signed and unsigned multipliers and two ? s-complement return functions are provided in vhdl and verilog code. multipliers using cascaded mult18x18 primitives are included with registers between stages causing three cycles of latency. multipliers that make use of the embedded virtex-ii pro 18-bit by 18-bit two ? s complement multipliers can be easily generated using v2.0 of the core generator multiplier module. table 2-35 lists cascaded multiplier submodules. figure 2-71 represents the cascaded scheme used to implement a 35-bit by 35-bit signed multiplier utilizing four embedded multipliers and two adders. the fixed adder is 53 bits wide (17 lsbs are always 0 on one input). the 34-bit by 34-bit unsigned submodule is constructed in a similar manner with the most significant bit on each operand being tied to logic low. ta ble 2 -3 5 lists multipliers and two ? s-complement return functions that utilize one mult18x18 primitive and are not registered. table 2-35: embedded multiplier submodules - cascaded mult18x18 submodule a width b width p width signed/unsigned mult35x35_s 35 35 70 signed mult34x34_u 34 34 68 unsigned figure 2-71: mult35x35_s submodule mult 18 x 18 a a[34:17] b p 36 b[34:17] 69:34 33:0 69 36 36 36 0   0 36 70 70 mult 18 x 18 a a[34:17] b p 36 o,b[16:0] 36 36 52 51:17 16   0 mult 18x18 a o,a[16:0] b p 36 b[34:17] 36 36 mult 18 x 18 a o,a[16:0] b + + p 34 o,b[16:0] 34 34 ug002_c2_021_081800
ug012 (v1.0) january 31, 2002 www.xilinx.com 299 virtex-ii pro platform fpga handbook 1-800-255-7778 embedded multipliers r multipliers of form mult_axas_bxbu use one embedded multiplier to implement two multipliers with separate outputs. the submodules listed above use optimized pin assignments to achieve shortest possible through-delay. figure 2-72 and figure 2-73 represent 4-bit by 4-bit signed multiplier and 4-bit by 4-bit unsigned multiplier implementations, respectively. table 2-36: embedded multiplier submodules - single mult18x18 submodule a width b width p width signed/unsigned mult17x17_u 17 17 34 unsigned mult8x8_s 8 8 16 signed mult8x8_u 8 8 16 unsigned mult4x4_s 4 4 8 signed mult4x4_u 4 4 8 unsigned mult_6x6s_5x5u 6 5 6 5 12 10 signed unsigned mult_5x5s_6x6u 5 6 5 6 10 12 signed unsigned mult_5x5u_5x5u 5 5 5 5 10 10 unsigned unsigned mult_4x4s_7x7u 4 7 4 7 8 14 signed unsigned mult_4x4s_3x3s 4 3 4 3 8 6 signed signed twos_cmp18 18 - 18 - twos_cmp9 9 - 9 - magntd_18 18 - 17 - figure 2-72: mult4x4_s submodule 17 o o a3 a3 a3 a3 a[3:0] p[7:0] 7:0       8 7 6 5 4 [3:0] nc 35:8 a p 17 o o b3 b3 b3 b3 b[3:0]       8 7 6 5 4 [3:0] b mult 18 x 18 ug002_c2_022_032901
300 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r submodule magntd_18 performs a magnitude return (i.e., absolute value) of a two ? s- complement number. an incoming negative number returns with a positive number, while an incoming positive number remains unchanged. submodules twos_cmp18 and twos_cmp9 perform a two ? s-complement return function. the incoming number in two ? s-complement form (either signed or unsigned) is complemented when the do_comp pin is asserted high. additional slice logic can be used with these submodules to efficiently convert sign-magnitude to two ? s-complement or vice-versa. figure 2-74 shows the connections to a mult18x18 to create the submodule twos_cmp9. two multipliers in a single primitive two multipliers can be implemented in a single primitive. for simplified illustration purposes, an assumption of two squares being implemented in the same mult18x18 primitive is used. the following equation shows the form of the multiplication. two multipliers per primitive: (x * 2 n + y)(x * 2 n + y) = (x 2 * 2 2n ) + (y 2 ) + (xy * 2 n+1 ) (x * 2 n ) is the input x appearing on the msbs while y appears on the lsbs to form the value (x * 2 n + y). two multipliers can coexist in one mult18x18 primitive, if the conditions in the following inequalities are met when neither x nor y are 0. figure 2-73: mult4x4_u submodule figure 2-74: twos_cmp9 submodule 17 o o a 3:0 3:0 p 7:0 7:0       4 nc 35:8 a 17 o o b 3:0 3:0       4 b p mult 18 x 18 ug002_c2_023_081600 x000 mult18x18 ug002_c2_027_081800 x000 p [8:0] [17:9] [8:0] [35:9] [8:0] [17:9] [8:0] a [8:0] x111 p b a nc
ug012 (v1.0) january 31, 2002 www.xilinx.com 301 virtex-ii pro platform fpga handbook 1-800-255-7778 embedded multipliers r inequality conditions for two multipliers per primitive: (x 2 * 2 2n ) min > (xy * 2 n+1 ) max , (xy * 2 n+1 ) min > (y 2 ) max for values 0 on x or y, the equation becomes: x 2 * 2 2n {y=0} y 2 {x=0} 0 {x=0, y=0} figure 2-75 represents the mult_6x6s_5x5u submodule. ta ble 2 -3 7 shows values for x and y where these conditions are met. vhdl and verilog instantiation vhdl and verilog instantiation templates are available as examples of primitives and submodules (see vhdl and verilog templates , page 302 ). in vhdl, each template has a component declaration section and an architecture section. each part of the template should be inserted within the vhdl design file. the port map of the architecture section should include the design signals names. port signals data in - a the data input provides new data (up to 18 bits) to be used as one of the multiplication operands. data in - b the data input provides new data (up to 18 bits) to be used as one of the multiplication operands. figure 2-75: mult_6x6s_5x5u -- connections to a mult18x18 primitive table 2-37: two multipliers per mult18x18 allowable sizes x * x y * y signed size unsigned size signed size unsigned size 7 x 7 6 x 6 - 4 x 4 6 x 6 5 x 5 - 5 x 5 5 x 5 4 x 4 3 x 3 6 x 6 4 x 4 3 x 3 3 x 3 7 x 7 3 x 3 2 x 2 4 x 4 8 x 8 a_5u 4:0 p_5u 9:0 x00 11:5 a_6s 17:12 p_6s 35:24 nc 23:10 a b_5u 4:0 x00 11:5 b_6s 17:12 b p mult 18 x 18 ug002_c2_024_081800
302 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r data out - p the data output bus p provides the data value (up to 36 bits) of two ? s-complement multiplication for operands a and b. location constraints each embedded multiplier has location coordinates of the form xrowycolumn. to constrain placement, multiplier instances can have loc properties attached to mult18x18 embedded multiplier instances can have loc properties attached to them to constrain placement. mult18x18 placement locations differ from the convention used for naming clb locations, allowing loc properties to transfer easily from array to array. the loc properties use the following form: loc = mult18x18_x#y# for example, mult18x18_x0y0 is the bottom-left mult18x18 location on the device. vhdl and verilog templates vhdl and verilog templates are available for the primitive and submodules. the following is a template for the primitive:  signed_mult_18x18 (primitive: mult18x18) the following are templates for submodules:  signed_mult_35x35 (submodule: mult35x35_s)  unsigned_mult_34x34 (submodule: mult34x34_u)  unsigned_mult_17x17 (submodule: mult17x17_u)  signed_mult_8x8 (submodule: mult8x8_s)  unsigned_mult_8x8 (submodule: mult8x8_u)  signed_mult_4x4 (submodule: mult4x4_s)  unsigned_mult_4x4 (submodule: mult4x4_u)  dual_mult_6x6s_5x5u (submodule: mult_6x6s_5x5u)  dual_mult_5x5s_6x6u (submodule: mult_5x5s_6x6u)  dual_mult_5x5u_5x5u (submodule: mult_5x5u_5x5u)  dual_mult_4x4s_7x7u (submodule: mult_4x4s_7x7u)  dual_mult_4x4s_3x3s (submodule: mult_4x4s_3x3s)  twos_complementer_18bit (submodule: twos_cmp18)  twos_complementer_9bit (submodule: twos_cmp9)  magnitude_18bit (submodule: magntd_18) the corresponding submodules have to be synthesized with the design. templates for the signed_mult_18x18 module are provided in vhdl and verilog code as an example.
ug012 (v1.0) january 31, 2002 www.xilinx.com 303 virtex-ii pro platform fpga handbook 1-800-255-7778 single-ended selecti/o resources r vhdl template: -- module: signed_mult_18x18 -- description: vhdl instantiation template -- 18-bit x 18-bit embedded signed multiplier (asynchronous) -- -- device: virtex-ii pro family --------------------------------------------------------------------- -- components declarations component mult18x18 port( a : in std_logic_vector (17 downto 0); b : in std_logic_vector (17 downto 0); p : out std_logic_vector (35 downto 0) ); end component; -- -- architecture section -- u_mult18x18 : mult18x18 port map ( a => , -- insert input signal #1 b => , -- insert input signal #2 p => -- insert output signal ); verilog template: // module: signed_mult_18x18 // description: verilog instantiation template // 18-bit x 18-bit embedded signed multiplier (asynchronous) // // device: virtex-ii pro family //------------------------------------------------------------------- // instantiation section // mult18x18 u_mult18x18 ( .a () , // insert input signal #1 .b () , // insert input signal #2 .p () // insert output signal ); single-ended selecti/o resources summary the virtex-ii pro fpga series includes a highly configurable, high-performance single- ended selecti/o resource that supports a wide variety of i/o standards. the selecti/o resource includes a robust set of features, including programmable control of output drive strength, slew rate, and input delay and hold time. taking advantage of the flexibility of selecti/o features and the design considerations described in this document can improve and simplify system-level design. introduction as fpgas continue to grow in size and capacity, the larger and more complex systems designed for them demand an increased variety of i/o standards. furthermore, as system clock speeds continue to increase, the need for high-performance i/o becomes more
304 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r important. chip-to-chip delays have an increasingly substantial impact on overall system speed. the task of achieving the desired system performance is becoming more difficult with the proliferation of low-voltage i/o standards. selecti/o resolves this potential problem by providing a highly configurable, high-performance alternative to i/o resources used in more conventional programmable devices. virtex-ii pro selecti/o blocks can support up to 19 single-ended i/o standards. supporting such a variety of i/o standards allows support for a wide variety of applications. each input/output block (iob) includes six registers, two each from the input, output, and 3-state signals within the iob. these registers are optionally configured as either a d-type flip-flop or as a level-sensitive latch. the purpose of having six registers is to allow designers to design double-data-rate (ddr) logic in the i/o blocks. each pair of the flip- flop (ff) has different clocks so that the flip-flops can be driven by two clocks with a 180- degree phase shift to achieve ddr. all i/o flip-flops still share the same reset/preset line. the input buffer has an optional delay element used to guarantee a zero hold time requirement for input signals registered within the iob. virtex-ii pro selecti/o features also provide dedicated resources for input reference voltage (v ref ) and input output source voltage (v cco ), along with a convenient banking system that simplifies board design. virtex-ii pro inputs and outputs are powered from v cco . differential amplifier inputs, such as gtl and sstl, are powered from v ref . fundamentals modern bus applications, pioneered by the largest and most influential components in the digital electronics industry, are commonly introduced with a new i/o standard tailored specifically to the needs of that application. the bus i/o standards provide specifications to other vendors who create products designed to interface with these applications. each standard often has its own specifications for current, voltage, i/o buffering, and termination techniques. the ability to provide the flexibility and time-to-market advantages of programmable logic is increasingly dependent on the capability of the programmable logic device to support an ever increasing variety of i/o standards. selecti/o resources feature highly configurable input and output buffers that provide support for a wide variety of i/o standards. an input buffer can be configured as either a simple buffer or as a differential amplifier input. an output buffer can be configured as either a push-pull output or as an open drain output. ta ble 2-3 8 illustrates all of the supported single-ended i/o standards in virtex-ii pro devices. each buffer type can support a variety of current and voltage requirements. table 2-38: supported single-ended i/o standards i/o standard input reference voltag e (v ref ) input source voltag e (v cco ) output source voltage (v cco ) board termination voltag e (v tt ) lvttl n/a 3.3 3.3 n/a lvcmos15 n/a 1.5 1.5 n/a lvcmos18 n/a 1.8 1.8 n/a lvcmos25 n/a 2.5 2.5 n/a lvcmos33 n/a 3.3 3.3 n/a pci33_3 n/a 3.3 3.3 n/a pci66_3 n/a 3.3 3.3 n/a pcix n/a 3.3 3.3 n/a gtl 0.80 n/a n/a 1.2
ug012 (v1.0) january 31, 2002 www.xilinx.com 305 virtex-ii pro platform fpga handbook 1-800-255-7778 single-ended selecti/o resources r 3.3v i/o support due to process geometry, only certain banks support pci and other 3.3v single-ended i/o standards. table 4-1, page 448 , details the total number of 3.3v i/os per bank, as well as bank locations. overview of supported i/o standards this section provides a brief overview of i/o standards supported by all virtex-ii pro devices. while most i/o standards specify a range of allowed voltages, this document records typical voltage values only. detailed information on each specification can be found on the electronic industry alliance jedec website at: http://www.jedec.org lvttl - low-voltage ttl the low-voltage ttl, or lvttl, standard is a general purpose eia/jesdsa standard for 3.3v applications that use an lvttl input buffer and a push-pull output buffer. this standard requires a 3.3v input and output source voltage (v cco ), but does not require the use of a reference voltage (v ref ) or a termination voltage (v tt ). lvcmos33 - 3.3-volt low-voltage cmos this standard is an extension of the lvcmos standard (jesd 8.-5). it is used in general purpose 3.3v applications.the standard requires a 3.3v input/output source voltage (v cco ), but does not require the use of a reference voltage (v ref ) or a termination voltage (v tt ). lvcmos25 - 2.5-volt low-voltage cmos this standard is an extension of the lvcmos standard (jesd 8.-5). it is used in general purpose 2.5 volts or lower applications. this standard requires a 2.5v input /output source voltage (v cco ), but does not require the use of a reference voltage (v ref ) or a board termination voltage (v tt ). lvcmos18 - 1.8-volt low-voltage cmos this standard is an extension of the lvcmos standard. it is used in general purpose 1.8v applications. the use of a reference voltage (v ref ) or board termination voltage (v tt ) is not required. gtl+ 1.0 n/a n/a 1.5 hstl_i 0.75 n/a 1.5 0.75 hstl_ii 0.75 n/a 1.5 0.75 hstl_iii 0.9 n/a 1.5 1.5 hstl_iv 0.9 n/a 1.5 1.5 sstl3_i 1.5 n/a 3.3 1.5 sstl3_ii 1.5 n/a 3.3 1.5 sstl2_i 1.25 n/a 2.5 1.25 sstl2_ii 1.25 n/a 2.5 1.25 table 2-38: supported single-ended i/o standards (continued) i/o standard input reference voltag e (v ref ) input source voltag e (v cco ) output source voltage (v cco ) board termination voltag e (v tt )
306 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r lvcmos15 - 1.5-volt low-voltage cmos this standard is an extension of the lvcmos standard. it is used in general purpose 1.5v applications. the use of a reference voltage (v ref ) or a board termination voltage (v tt ) is not required. pci - peripheral component interface the pci standard specifies support for 33 mhz, 66 mhz and 133 mhz pci bus applications. it uses a lvttl input buffer and a push-pull output buffer. this standard does not require the use of a reference voltage (v ref ) or a board termination voltage (v tt ), however, it does require 3.3v input output source voltage (v cco ). gtl -gunning transceiver logic terminated the gtl standard is a high-speed bus standard (jesd8.3) invented by xerox. xilinx has implemented the terminated variation for this standard. this standard requires a differential amplifier input buffer and a open drain output buffer. gtl+ - gunning transceiver logic plus the gunning transceiver logic plus, or gtl+ standard is a high-speed bus standard (jesd8.3) first used by the pentium pro processor. hstl - high-speed transceiver logic the high-speed transceiver logic, or hstl standard is a general purpose high-speed, 1.5v bus standard sponsored by ibm (eia/jesd8-6). this standard has four variations or classes. virtex-ii pro selecti/o supports all four classes. this standard requires a differential amplifier input buffer and a push-pull output buffer. sstl3 - stub series terminated logic for 3.3v the stub series terminated logic for 3.3v, or sstl3 standard is a general purpose 3.3v memory bus standard also sponsored by hitachi and ibm (jesd8-8). this standard has two classes, i and ii. virtex-ii pro selecti/o supports both classes for the sstl3 standard. this standard requires a differential amplifier input buffer and a push-pull output buffer. sstl2 - stub series terminated logic for 2.5v the stub series terminated logic for 2.5v, or sstl2 standard is a general purpose 2.5v memory bus standard also sponsored by hitachi and ibm (jesd8-8). this standard has two classes, i and ii. virtex-ii pro selecti/o supports both classes for the sstl2 standard. this standard requires a differential amplifier input buffer and a push-pull output buffer library symbols the xilinx library includes an extensive list of symbols designed to provide support for the variety of selecti/o features. most of these symbols represent variations of the five generic selecti/o symbols.  ibuf (input buffer)  ibufg (clock input buffer)  obuf (output buffer)  obuft (3-state output buffer)  iobuf (input/output buffer) ibuf signals used as inputs to a virtex-ii pro device must source an input buffer (ibuf) via an external input port. the generic virtex-ii pro ibuf symbol is shown in figure 2-76 . the
ug012 (v1.0) january 31, 2002 www.xilinx.com 307 virtex-ii pro platform fpga handbook 1-800-255-7778 single-ended selecti/o resources r extension to the base name defines which i/o standard the ibuf uses. the assumed standard is lvttl when the generic ibuf has no specified extension. ta ble 2 -3 9 details variations of the ibuf symbol for single-ended virtex-ii pro i/o standards: when the ibuf symbol supports an i/o standard that requires a differential amplifier input, the ibuf is automatically configured as a differential amplifier input buffer. the low-voltage i/o standards with a differential amplifier input require an external reference voltage input v ref . the voltage reference signal is ? banked ? within the virtex-ii pro device on a half-edge basis, such that for all packages there are eight independent v ref banks internally. for a representation of the virtex-ii pro i/o banks, see figure 2-78 . within each bank approximately one of every six i/o pins is automatically configured as a v ref input. after placing a differential amplifier input signal within a given v ref bank, the same external source must drive all i/o pins configured as a v ref input. ibuf placement restrictions require that any differential amplifier input signals within a bank be of the same standard. how to specify a specific location for the ibuf via the loc property is described below. table 2-40 summarizes compatibility requirements of virtex-ii pro input standards. an optional delay element in the input data path is associated with each ibuf. when the ibuf drives a flip-flop within the iob, the delay element is activated by default to ensure a zero hold-time requirement at the device input pin. the iobdelay = none property overrides this default, thus reducing the input set-up time, but risking a hold-time requirement. when the ibuf does not drive a flip-flop within the iob, the delay element is deactivated by default to provide a shorter input set-up time. to delay the input signal, activate the delay element with the iobdelay = both property. figure 2-76: input buffer (ibuf) symbols table 2-39: variations of the ibuf symbol ibuf ibuf_hstl_iii ibuf_lvcmos15 ibuf_hstl_iv ibuf_lvcmos18 ibuf_sstl2_i ibuf_lvcmos25 ibuf_sstl2_ii ibuf_lvcmos33 ibuf_sstl3_i ibuf_apg ibuf_sstl3_ii ibuf_gtl ibuf_pci33_3 ibuf_gtlp ibuf_pci66_3 ibuf_hstl_i ibuf_pcix ibuf_hstl_ii o i ibuf x133_01_111699
308 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r each bank has its own v cco and v ref voltage. details on compatible input standards for each v cco / v ref voltage combination are available in the virtex-ii pro data sheet . obuf an obuf must drive outputs through an external output port. figure 2-79 shows the generic output buffer (obuf) symbol. figure 2-77: virtex-ii pro i/o banks: top view for flip-chip packages (ff & bf) figure 2-78: virtex-ii pro i/o banks: top view for wire-bond package (fg) table 2-40: xilinx input standard compatibility requirements rule 1 standards with the same v cco , and v ref can be placed within the same bank. rule 2 standards that don ? t require a v ref can be placed within the same bank with the standards that have the same v cco values ds031_66_112900 bank 1 bank 0 bank 4 bank 5 bank 2 bank 3 bank 7 bank 6 ug002_c2_014_112900 bank 0 bank 1 bank 5 bank 4 bank 7 bank 6 bank 2 bank 3 figure 2-79: virtex-ii pro output buffer (obuf) symbol o i obuf x133_04_111699
ug012 (v1.0) january 31, 2002 www.xilinx.com 309 virtex-ii pro platform fpga handbook 1-800-255-7778 single-ended selecti/o resources r the extension to the base name defines which i/o standard the obuf uses. with no extension specified for the generic obuf symbol, the assumed standard is slew rate limited lvcmos25 with 12ma drive strength. the lvttl and lvcmos obufs can additionally support one of two slew rate modes to minimize bus transients. by default, the slew rate for each output buffer is reduced to minimize power bus transients, when switching non-critical signals. lvttl and lvcmos output buffers have selectable drive strengths. the format for these obuf symbol names is as follows: obuf_< slew_rate >_< drive_strength > < slew_rate > is either f (fast) or s (slow) and < drive_strength > is specified in milliamperes. for lvttl, lvcmos25, and lvcmos33, the supported drive strengths are 2, 4, 6, 8, 12, 16, and 24. for lvcmos15, and lvcmos18, the supported drive strengths are 2, 4, 6, 8, 12, and 16. ta ble 2 -4 1 details variations of the obuf symbol. table 2-41: variations of the obuf symbol obuf obuf_lvcmos18_s_2 obuf_lvcmos33_s_4 obuf_s_2 obuf_lvcmos18_s_4 obuf_lvcmos33_s_6 obuf_s_4 obuf_lvcmos18_s_6 obuf_lvcmos33_s_8 obuf_s_6 obuf_lvcmos18_s_8 obuf_lvcmos33_s_12 obuf_s_8 obuf_lvcmos18_s_12 obuf_lvcmos33_s_16 obuf_s_12 obuf_lvcmos18_s_16 obuf_lvcmos33_s_24 obuf_s_16 obuf_lvcmos18_f_2 obuf_lvcmos33_f_2 obuf_s_24 obuf_lvcmos18_f_4 obuf_lvcmos33_f_4 obuf_f_2 obuf_lvcmos18_f_6 obuf_lvcmos33_f_6 obuf_f_4 obuf_lvcmos18_f_8 obuf_lvcmos33_f_8 obuf_f_6 obuf_lvcmos18_f_12 obuf_lvcmos33_f_12 obuf_f_8 obuf_lvcmos18_f_16 obuf_lvcmos33_f_16 obuf_f_12 obuf_lvcmos25 obuf_lvcmos33_f_24 obuf_f_16 obuf_lvcmos25_s_2 obuf_pci33_3 obuf_f_24 obuf_lvcmos25_s_4 obuf_pci66-3 obuf_lvcmos15 obuf_lvcmos25_s_6 obuf_pcix obuf_lvcmos15_s_2 obuf_lvcmos25_s_8 obuf_gtl obuf_lvcmos15_s_4 obuf_lvcmos25_s_12 obuf_gtlp obuf_lvcmos15_s_6 obuf_lvcmos25_s_16 obuf_hstl_i obuf_lvcmos15_s_8 obuf_lvcmos25_s_24 obuf_hstl_ii obuf_lvcmos15_s_12 obuf_lvcmos25_f_2 obuf_hstl_iii obuf_lvcmos15_s_16 obuf_lvcmos25_f_4 obuf_hstl_iv obuf_lvcmos15_f_2 obuf_lvcmos25_f_6 obuf_sstl3_i obuf_lvcmos15_f_4 obuf_lvcmos25_f_8 obuf_sstl3_ii obuf_lvcmos15_f_6 obuf_lvcmos25_f_12 obuf_sstl2_i obuf_lvcmos15_f_8 obuf_lvcmos25_f_16 obuf_sstl2_ii
310 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r obuf placement restrictions require that within a given v cco bank each obuf share the same output source drive voltage. input buffers with the same v cco and output buffers that do not require v cco can be placed within any v cco bank. ta b le 2 -4 2 summarizes virtex-ii pro output compatibility requirements. the loc property can specify a location for the obuf. each bank has its own v cco voltage. details on compatible output standards for each v cco voltage combination are available in the virtex-ii pro data sheet . obuft the generic 3-state output buffer obuft, shown in figure 2-80 , typically implements 3-state outputs or bidirectional i/o. the extension to the base name defines which i/o standard obuft uses. with no extension specified for the generic obuft symbol, the assumed standard is slew rate limited lvcmos with 12ma drive strength. the lvttl and lvcmos obufts additionally can support one of two slew rate modes to minimize bus transients. by default, the slew rate for each output buffer is reduced to minimize power bus transients, when switching non-critical signals. lvttl and lvcmos 3-state buffers have selectable drive strengths. the format for these obuft symbol names is as follows: obuft_< slew_rate >_< drive_strength > < slew_rate > is either f(fast) or s(slow) and < drive_strength > is specified in milliamperes. for lvttl, lvcmos25, and lvcmos33, the supported drive strengths are 2, 4, 6, 8, 12, 16, and 24. for lvcmos15 and lvcmos18, the supported drive strengths are 2, 4, 6, 8, 12, and 16. ta ble 2 -4 3 details variations of the obuft symbol. obuf_lvcmos15_f_12 obuf_lvcmos25_f_24 obuf_lvcmos15_f_16 obuf_lvcmos33 obuf_lvcmos18 obuf_lvcmos33_s_2 table 2-42: output standards compatibility requirements rule 1 only outputs with standards which share compatible v cco can be used within the same bank. rule 2 there are no placement restrictions for outputs with standards that do not require a v cco table 2-41: variations of the obuf symbol (continued) figure 2-80: 3-state output buffer symbol (obuft) table 2-43: variations of the obuft symbol obuft obuft_lvcmos18_s_2 obuft_lvcmos33_s_4 obuft_s_2 obuft_lvcmos18_s_4 obuft_lvcmos33_s_6 obuft_s_4 obuft_lvcmos18_s_6 obuft_lvcmos33_s_8 obuft_s_6 obuft_lvcmos18_s_8 obuft_lvcmos33_s_12 o i obuft x133_05_111699 t
ug012 (v1.0) january 31, 2002 www.xilinx.com 311 virtex-ii pro platform fpga handbook 1-800-255-7778 single-ended selecti/o resources r obuft placement restrictions require that within a given v cco bank each obuft share the same output source drive voltage. input buffers with the same v cco and output buffers that do not require v cco can be placed within any v cco bank. the loc property can specify a location for the obuft. 3-state output buffers and bidirectional buffers can have either a weak pull-up resistor, a weak pull-down resistor, or a weak ? keeper ? circuit. control this feature by adding the appropriate symbol to the output net of the obuft (pullup, pulldown, or keeper). the weak ? keeper ? circuit requires the input buffer within the iob to sample the i/o signal. thus, obufts programmed for an i/o standard that requires a v ref have automatic placement of a v ref in the bank with an obuft configured with a weak ? keeper ? typically implement a bidirectional i/o. in this case, the ibuf (and the corresponding v ref ) are placed explicitly. obuft_s_8 obuft_lvcmos18_s_12 obuft_lvcmos33_s_16 obuft_s_12 obuft_lvcmos18_s_16 obuft_lvcmos33_s_24 obuft_s_16 obuft_lvcmos18_f_2 obuft_lvcmos33_f_2 obuft_s_24 obuft_lvcmos18_f_4 obuft_lvcmos33_f_4 obuft_f_2 obuft_lvcmos18_f_6 obuft_lvcmos33_f_6 obuft_f_4 obuft_lvcmos18_f_8 obuft_lvcmos33_f_8 obuft_f_6 obuft_lvcmos18f_12 obuft_lvcmos33_f_12 obuft_f_8 obuft_lvcmos18_f_16 obuft_lvcmos33_f_16 obuft_f_12 obuft_lvcmos25 obuft_lvcmos33_f_24 obuft_f_16 obuft_lvcmos25_s_2 obuft_pci33_3 obuft_f_24 obuft_lvcmos25_s_4 obuft_pci66-3 obuft_lvcmos15 obuft_lvcmos25_s_6 obuft_pcix obuft_lvcmos15_s_2 obuft_lvcmos25_s_8 obuft_gtl obuft_lvcmos15_s_4 obuft_lvcmos25_s_12 obuft_gtlp obuft_lvcmos15_s_6 obuft_lvcmos25_s_16 obuft_hstl_i obuft_lvcmos15_s_8 obuft_lvcmos25_s_24 obuft_hstl_ii obuft_lvcmos15_s_12 obuft_lvcmos25_f_2 obuft_hstl_iii obuft_lvcmos15_s_16 obuft_lvcmos25_f_4 obuft_hstl_iv obuft_lvcmos15_f_2 obuft_lvcmos25_f_6 obuft_sstl3_i obuft_lvcmos15_f_4 obuft_lvcmos25_f_8 obuft_sstl3_ii obuft_lvcmos15_f_6 obuft_lvcmos25_f_12 obuft_sstl2_i obuft_lvcmos15_f_8 obuft_lvcmos25_f_16 obuft_sstl2_ii obuft_lvcmos15_f_12 obuft_lvcmos25_f_24 obuft_lvcmos15_f_16 obuft_lvcmos33 obuft_lvcmos18 obuft_lvcmos33_s_2 table 2-43: variations of the obuft symbol (continued)
312 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r iobuf use the iobuf symbol for bidirectional signals that require both an input buffer and a 3-state output buffer with an active high 3-state pin. figure 2-81 shows the generic input/output iobuf buffer. the extension to the base name defines which i/o standard the iobuf uses. with no extension specified for the generic iobuf symbol, the assumed standard is lvttl input buffer and slew rate limited lvcmos with 12ma drive strength for the output buffer. the lvttl and lvcmos iobufs can additionally support one of two slew rate modes to minimize bus transients. by default, the slew rate for each output buffer is reduced to minimize power bus transients, when switching non-critical signals. lvttl and lvcmos output buffers have selectable drive strengths. the format for these obuf symbol names is as follows: obuf_< slew_rate >_< drive_strength > < slew_rate > is either f (fast) or s (slow) and < drive_strength > is specified in milliamperes. for lvttl, lvcmos25 and lvcmos33, the supported drive strengths are 2, 4, 6, 8, 12, 16, and 24. for lvcmos15, and lvcmos18, the supported drive strengths are 2, 4, 6, 8, 12, and 16. ta ble 2-4 4 details variations of the iobuf symbol. figure 2-81: input/output buffer symbol (iobuf) table 2-44: variations of the iobuf symbol iobuf iobuf_lvcmos18_s_2 iobuf_lvcmos33_s_4 iobuf_s_2 iobuf_lvcmos18_s_4 iobuf_lvcmos33_s_6 iobuf_s_4 iobuf_lvcmos18_s_6 iobuf_lvcmos33_s_8 iobuf_s_6 iobuf_lvcmos18_s_8 iobuf_lvcmos33_s_12 iobuf_s_8 iobuf_lvcmos18_s_12 iobuf_lvcmos33_s_16 iobuf_s_12 iobuf_lvcmos18_s_16 iobuf_lvcmos33_s_24 iobuf_s_16 iobuf_lvcmos18_f_2 iobuf_lvcmos33_f_2 iobuf_s_24 iobuf_lvcmos18_f_4 iobuf_lvcmos33_f_4 iobuf_f_2 iobuf_lvcmos18_f_6 iobuf_lvcmos33_f_6 iobuf_f_4 iobuf_lvcmos18_f_8 iobuf_lvcmos33_f_8 iobuf_f_6 iobuf_lvcmos18f_12 iobuf_lvcmos33_f_12 iobuf_f_8 iobuf_lvcmos18_f_16 iobuf_lvcmos33_f_16 iobuf_f_12 iobuf_lvcmos25 iobuf_lvcmos33_f_24 iobuf_f_16 iobuf_lvcmos25_s_2 iobuf_pci33_3 io i iobuf x133_06_111699 t o
ug012 (v1.0) january 31, 2002 www.xilinx.com 313 virtex-ii pro platform fpga handbook 1-800-255-7778 single-ended selecti/o resources r when the iobuf symbol supports an i/o standard that requires a differential amplifier input, iobuf is automatically configured as a differential amplifier input buffer. low- voltage i/o standards with a differential amplifier input require an external reference voltage input v ref . the voltage reference signal is ? banked ? within the virtex-ii pro device on a half-edge basis, such that for all packages there are eight independent v ref banks internally. for a representation of the virtex-ii pro i/o banks, see figure 2-78 . within each bank approximately one of every twelve i/o pins is automatically configured as a v ref input. after placing a differential amplifier input signal within a given v ref bank, the same external source must drive all i/o pins configured as a v ref input. iobuf placement restrictions require any differential amplifier input signals within a bank be of the same standard. additional restrictions on virtex-ii pro selecti/o iobuf placement require that within a given v cco bank each iobuf share the same output source drive voltage. input buffers with the same v cco and output buffers that do not require v cco can be placed within any v cco bank. the loc property can specify a location for the obuf. an optional delay element is associated with the input path in each iobuf. when the iobuf drives an input flip-flop within the iob, the delay element is activated by default to ensure the zero hold-time requirement. override this default with the iobdelay = none property. in the case when the iobuf does not drive an input flip-flop within the iob, the delay element is deactivated by default to provide higher performance. to delay the input signal, deactivate the delay element with the iobdelay = both property. 3-state output buffers and bidirectional buffers can have a weak pull-up resistor, a weak pull-down resistor, or a weak ? keeper ? circuit. control this feature by adding the appropriate symbol to the output net of the iobuf (pullup, pulldown, or keeper). iobuf_f_24 iobuf_lvcmos25_s_4 iobuf_pci66-3 iobuf_lvcmos15 iobuf_lvcmos25_s_6 iobuf_pcix iobuf_lvcmos15_s_2 iobuf_lvcmos25_s_8 iobuf_gtl iobuf_lvcmos15_s_4 iobuf_lvcmos25_s_12 iobuf_gtlp iobuf_lvcmos15_s_6 iobuf_lvcmos25_s_16 iobuf_hstl_i iobuf_lvcmos15_s_8 iobuf_lvcmos25_s_24 iobuf_hstl_ii iobuf_lvcmos15_s_12 iobuf_lvcmos25_f_2 iobuf_hstl_iii iobuf_lvcmos15_s_16 iobuf_lvcmos25_f_4 iobuf_hstl_iv iobuf_lvcmos15_f_2 iobuf_lvcmos25_f_6 iobuf_sstl3_i iobuf_lvcmos15_f_4 iobuf_lvcmos25_f_8 iobuf_sstl3_ii iobuf_lvcmos15_f_6 iobuf_lvcmos25_f_12 iobuf_sstl2_i iobuf_lvcmos15_f_8 iobuf_lvcmos25_f_16 iobuf_sstl2_ii iobuf_lvcmos15_f_12 iobuf_lvcmos25_f_24 iobuf_lvcmos15_f_16 iobuf_lvcmos33 i obuf_lvcmos18 io buf _lv cmo s33_s_2 table 2-44: variations of the iobuf symbol (continued)
314 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r selecti/o properties access to some selecti/o features (for example, location constraints, input delay, output drive strength, and slew rate) is available through properties associated with these features. input delay properties an optional delay element is associated with the input path in each ibuf. when the ibuf drives an input flip-flop within the iob, the delay element activates by default to ensure the zero hold-time requirement. override this default with the iobdelay = none property. in the case when the ibuf does not drive an input flip-flop within the iob, the delay element is deactivated by default to provide higher performance. to delay the input signal, activate the delay element with the iobdelay = both property. iob flip-flop/latch properties the virtex-ii pro series i/o block (iob) includes two optional registers on the input path, two optional registers on the output path, and two optional registers on the 3-state control pin. the design implementation software automatically takes advantage of these registers when the following option for the map program is specified. map -pr b < filename > alternatively, the iob = true property can be placed on a register to force the mapper to place the register in an iob. the two registers for each path makes designing double-data-rate (ddr) logic much simpler. each pair of the registers has separate clock inputs, which can be driven by either the positive edge or the negative edge of the clock. users can use both edges of the clocks to clock data in and out from the iob. for details on ddr, see double-data-rate (ddr) i/o , page 348 . location constraints specify the location of each selecti/o symbol with the location constraint loc attached to the selecti/o symbol. the external port identifier indicates the value of the location constrain. the format of the port identifier depends on the package chosen for the specified design. the loc properties use the following form:  loc=a42;  loc=p37; output slew rate property as mentioned above, a variety of symbol names provide the option of choosing the desired slew rate for the output buffers. in the case of the lvttl or lvcmos output buffers (obuf, obuft, and iobuf), slew rate control can be alternatively programmed with the slew = property. by the default, the slew rate for each output buffer is reduced to minimize power bus transients when switching non-critical signals. the slew = property has one of the two following values:  slew = slow  slew = fast output drive strength property the desired output drive strength can be additionally specified by choosing the appropriate library symbol. the xilinx library also provides an alternative method for specifying this feature. for the lvttl, and lvcmos output buffers (obuf, obuft, and
ug012 (v1.0) january 31, 2002 www.xilinx.com 315 virtex-ii pro platform fpga handbook 1-800-255-7778 single-ended selecti/o resources r iobuf), the desired drive strength can be specified with the drive = property. this property could have one of the following values:  drive = 2  drive = 4  drive = 6  drive = 8  drive = 12  drive = 16  drive = 24 design considerations reference voltage (v ref ) pins low-voltage i/o standards with a differential amplifier input buffer require an input reference voltage (v ref ). provide the v ref as an external signal to the device. the voltage reference signal is ? banked ? within the virtex-ii pro device on a half-edge basis such that for all packages there are eight independent v ref banks internally. see figure 2-78 for a representation of the virtex-ii pro i/o banks. within each bank approximately one of every twelve i/o pins is automatically configured as a v ref input. after placing a differential amplifier input signal within a given v ref bank, the same external source must drive all i/o pins configured as a v ref input. within each v ref bank, any input buffers that require a v ref signal must be of the same type. output buffers that have the same v cco values as the input buffers can be placed within the same v ref bank. output drive source voltage (v cco ) pins many of the low-voltage i/o standards supported by selecti/o devices require a different output drive source voltage (v cco ). as a result each device can often have to support multiple output drive source voltages. output buffers within a given v cco bank must share the same output drive source voltage. input buffers for lvttl, lvcmos15, lvcmos18, lvcmos25, lvcmos33, pci33_3, pci66_3, pcix use the v cco voltage for input v cco voltage. transmission line effects the delay of an electrical signal along a wire is dominated by the rise and fall times when the signal travels a short distance. transmission line delays vary with inductance and capacitance. but a well-designed board can experience delays of approximately 180ps per inch. transmission line effects, or reflections, typically start at 1.5" for fast (1.5ns) rise and fall times. poor (or non-existent) termination or changes in the transmission line impedance cause these reflections and can cause additional delay in longer traces. as a system speeds continue to increase, the effect of i/o delays can become a limiting factor and therefore transmission line termination becomes increasingly more important. termination techniques a variety of termination techniques reduce the impact of transmission line effects. the following are output termination techniques:  none  series  parallel (shunt)  series and parallel (series-shunt)
316 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r the following are input termination techniques:  none  parallel (shunt) these termination techniques can be applied in any combination. a generic example of each combination of termination methods appears in figure 2-82 . simultaneous switching guidelines ground bounce can occur with high-speed digital i cs when multiple outputs change states simultaneously, causing undesired transient behavior on an output or in the internal logic. this problem is also referred to as the simultaneous switching output (sso) problem. ground bounce is primarily due to current changes in the combined inductance of ground pins, bond wires, and group metallization. the ic internal ground level deviates from the external system ground level for a short duration (a few nanoseconds) after multiple outputs change state simultaneously. ground bounce affects stable low outputs and all inputs because they interpret the incoming signal by comparing it to the internal ground. if the ground bounce amplitude exceeds the actual instantaneous noise margin, then a non-changing input can be interpreted as a short pulse with a polarity opposite to the ground bounce. ta ble 2-4 5 provides the guidelines for the maximum number of simultaneously switching outputs allowed per output power/ground pair to avoid the effects of ground bounce. (see note 1 at the end of the table for qualifications.) refer to ta ble 2 -4 6 for the number of effective output power/ground pairs for each virtex-ii pro device and package combination. figure 2-82: overview of standard input and output termination methods x133_07_111699 unterminated double parallel terminated series-parallel terminated output driving a parallel terminated input v tt v tt v ref series terminated output driving a parallel terminated input v tt v ref unterminated output driving a parallel terminated input v tt v ref v tt v tt v ref series terminated output v ref z=50 z=50 z=50 z=50 z=50 z=50 table 2-45: guidelines for maximum number of simultaneously switching outputs per power/ground pair standard package: fg, ff, bf lvttl2_slow 68 lvttl4_slow 41 lvttl6_slow 29
ug012 (v1.0) january 31, 2002 www.xilinx.com 317 virtex-ii pro platform fpga handbook 1-800-255-7778 single-ended selecti/o resources r lvttl8_slow 22 lvttl12_slow 15 lvttl16_slow 11 lvttl24_slow 7 lvttl2_fast 40 lvttl4_fast 24 lvttl6_fast 17 lvttl8_fast 13 lvttl12_fast 10 lvttl16_fast 8 lvttl24_fast 5 lvdci_15 50 ? impedance 10 lvdci_dv2_15 25 ? impedance 5 lvcmos15_2_slow 51 lvcmos15_4_slow 31 lvcmos15_6_slow 22 lvcmos15_8_slow 17 lvcmos15_12_slow 11 lvcmos15_16_slow 8 lvcmos15_2_fast 30 lvcmos15_4_fast 18 lvcmos15_6_fast 13 lvcmos15_8_fast 10 lvcmos15_12_fast 8 lvcmos15_16_fast 6 lvdci_18 50 ? impedance 11 lvdci_dv2_18 25 ? impedance 5 lvcmos18_2_slow 58 lvcmos18_4_slow 35 lvcmos18_6_slow 25 lvcmos18_8_slow 19 lvcmos18_12_slow 13 lvcmos18_16_slow 10 table 2-45: guidelines for maximum number of simultaneously switching outputs per power/ground pair (continued) standard package: fg, ff, bf
318 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r lvcmos18_2_fast 34 lvcmos18_4_fast 20 lvcmos18_6_fast 15 lvcmos18_8_fast 11 lvcmos18_12_fast 9 lvcmos18_16_fast 7 lvdci_25 50 ? impedance 13 lvdci_dv2_25 25 ? impedance 6 lvcmos25_2_slow 68 lvcmos25_4_slow 41 lvcmos25_6_slow 29 lvcmos25_8_slow 22 lvcmos25_12_slow 15 lvcmos25_16_slow 11 lvcmos25_24_slow 7 lvcmos25_2_fast 40 lvcmos25_4_fast 24 lvcmos25_6_fast 17 lvcmos25_8_fast 13 lvcmos25_12_fast 10 lvcmos25_16_fast 8 lvcmos25_24_fast 5 lvdci_33 50 ? impedance 13 lvdci_dv2_33 25 ? impedance 6 lvcmos33_2_slow 68 lvcmos33_4_slow 41 lvcmos33_6_slow 29 lvcmos33_8_slow 22 lvcmos33_12_slow 15 lvcmos33_16_slow 11 lvcmos33_24_slow 7 lvcmos33_2_fast 40 lvcmos33_4_fast 24 table 2-45: guidelines for maximum number of simultaneously switching outputs per power/ground pair (continued) standard package: fg, ff, bf
ug012 (v1.0) january 31, 2002 www.xilinx.com 319 virtex-ii pro platform fpga handbook 1-800-255-7778 single-ended selecti/o resources r since some of the ground pins are shared inside the package, the effective power/ground pairs per bank may be fewer than the physical power/ground pair pins. the following tables show the number of equivalent power/ground pairs. lvcmos33_6_fast 17 lvcmos33_8_fast 13 lvcmos33_12_fast 10 lvcmos33_16_fast 8 lvcmos33_24_fast 5 pci33/66/x 8 gtl 4 gtl_dci 3 gtl+ 4 gtl+_dci 3 hstli 20 hstli_dci 15 hstlii 10 hstlii_dci 7 hstliii 8 hstliii_dci 8 hstliv 4 hstliv_dci 4 sstl2i 15 sstl2i_dci 7 sstl2ii 10 sstl2ii_dci 5 sstl3i 12 sstl3i_dci 6 sstl3ii 8 sstl3ii_dci 4 notes: 1. the maximum number of simultaneously switching outputs per power/ground pair may be less than the number given in this table if the external bypass capacitor solution has a high series inductance. this table presumes the use of ultra-low inductance bypass capacitors. refer to vcc decoupling , page 500 . table 2-45: guidelines for maximum number of simultaneously switching outputs per power/ground pair (continued) standard package: fg, ff, bf
320 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r ta ble 2 -4 6 shows the number of pairs in banks 0, 1, 4, and 5 (top and bottom edges of the die), while table 2-47 shows the numbers in banks 2, 3, 6, and 7. this is because mgts reside on the top and bottom edge only. application example creating a design with the selecti/o feature requires either assignment of the iostandard attribute in the constraint file or instantiation of the desired library symbol within the design code. to enter the iostandard attribute in the constraint file (ucf file), the following syntax can be used: net iostandard= for example, to enter pcix standard, use net iostandard=pcix; to instantiate a library symbol in the hdl code, use the proper input or output buffer name, and follow the standard syntax of instantiation. for example, to instantiate a gtl input buffer in vhdl, the following syntax can be used: gtl_buffer : ibuf_gtl port map (i=>data_in, o=>data_gtl_in); at the board level, designers need to know the termination techniques required for each i/o standard. table 2-46: virtex-ii pro equivalent power/ground pairs per bank, top/bottom package for banks 0, 1, 4, and 5 (top/bottom sides): 2vp2 2vp4 2vp7 2vp20 2vp50 fg256 3 3 fg456 33 4 fg672 335 ff896 57 ff1152 712 ff1517 12 bf957 712 table 2-47: virtex-ii pro equivalent power/ground pairs per bank, left/right package for banks 2, 3, 6, and 7 (left/right sides): 2vp2 2vp4 2vp7 2vp20 2vp50 fg256 2 5 fg456 25 5 fg672 277 ff896 79 ff1152 916 ff1517 16 bf957 916
ug012 (v1.0) january 31, 2002 www.xilinx.com 321 virtex-ii pro platform fpga handbook 1-800-255-7778 single-ended selecti/o resources r this section describes some common application examples illustrating the termination techniques recommended by each of the single-ended standard supported by the selecti/o features. termination example circuit examples involving typical termination techniques for each of the selecti/o standards follow. for a full range of accepted values for the dc voltage specifications for each standard, refer to the table associated with each figure. the resistors used in each termination technique example and the transmission lines depicted represent board level components and are not meant to represent components on the device. gtl a sample circuit illustrating a valid termination technique for gtl is shown in figure 2-83 . ta ble 2 -4 8 lists dc voltage specifications. figure 2-83: gtl terminated table 2-48: gtl voltage specifications parameter min typ max v cco -n/a- v ref = n v tt 1 0.74 0.8 0.86 v tt 1.14 1.2 1.26 v ih v ref + 0.05 0.79 0.85 - v il v ref ? 0.05 - 0.75 0.81 v oh --- v ol -0.20.4 i oh at v oh (ma) --- i ol at v ol (ma) at 0.4v 32 - - i ol at v ol (ma) at 0.2v - - 40 notes: 1. n must be greater than or equal to 0.653 and less than or equal to 0.68. v ref = 0.8v v tt = 1.2v 50 ? 50 ? v cco = n/a z = 50 ug012_c2_008_121101 v tt = 1.2v
322 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r gtl + figure 2-84 shows a sample circuit illustrating a valid termination technique for gtl+. ta ble 2 -4 9 lists dc voltage specifications. hstl class i figure 2-89 shows a sample circuit illustrating a valid termination technique for hstl_i. figure 2-84: gtl+ terminated table 2-49: gtl+ voltage specifications parameter min typ max v cco --- v ref = n v tt 1 0.88 1.0 1.12 v tt 1.35 1.5 1.65 v ih v ref + 0.1 0.98 1.1 - v il v ref ? 0.1 - 0.9 1.02 v oh --- v ol 0.3 0.45 0.6 i oh at v oh (ma) - - - i ol at v ol (ma) at 0.6v 36 - - i ol at v ol (ma) at 0.3v - - 48 notes: 1. n must be greater than or equal to 0.653 and less than or equal to 0.68. v ref = 1.0v v tt = 1.5v 50 ? v cco = n/a z = 50 ug012_c2_009_121101 50 ? v tt = 1.5v figure 2-85: terminated hstl class i v ref = 0.75v v tt = 0.75v 50 ? v cco = 1.5v z = 50 ug012_c2_010_121101
ug012 (v1.0) january 31, 2002 www.xilinx.com 323 virtex-ii pro platform fpga handbook 1-800-255-7778 single-ended selecti/o resources r ta ble 2 -5 4 lists dc voltage specifications. hstl class ii figure 2-90 shows a sample circuit illustrating a valid termination technique for hstl_ii. ta ble 2 -5 5 lists dc voltage specifications. table 2-50: hstl class i voltage specification parameter min typ max v cco 1.40 1.50 1.60 v ref 0.68 0.75 0.90 v tt -v cco 0.5 - v ih v ref + 0.1 - - v il --v ref ? 0.1 v oh v cco ? 0.4 - - v ol -- 0.4 i oh at v oh (ma) ? 8- - i ol at v ol (ma) 8 - - figure 2-86: terminated hstl class ii table 2-51: hstl class ii voltage specification parameter min typ max v cco 1.40 1.50 1.60 v ref (1) -0.75 - v tt -v cco 0.5 - v ih v ref + 0.1 - - v il --v ref ? 0.1 v oh v cco ? 0.4 - - v ol -- 0.4 i oh at v oh (ma) ? 16 - - i ol at v ol (ma) 16 - - notes: 1. per eia/jesd8-6, ? the value of v ref is to be selected by the user to provide optimum noise margin in the use conditions specified by the user. ? ug012_c2_013a_121101 50 ? z = 50 50 ? v ref = 0.75v v tt = 0.75v v tt = 0.75v v cco = 1.5v
324 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r hstl class iii figure 2-91 shows a sample circuit illustrating a valid termination technique for hstl_iii. ta ble 2 -5 6 lists dc voltage specifications. hstl class iv figure 2-92 shows a sample circuit illustrating a valid termination technique for hstl_iv. ta ble 2 -5 7 lists dc voltage specifications. figure 2-87: terminated hstl class iii table 2-52: hstl class iii voltage specification parameter min typ max v cco 1.40 1.50 1.60 v ref (1) -0.90 - v tt -v cco - v ih v ref + 0.1 - - v il --v ref ? 0.1 v oh v cco ? 0.4 - - v ol -- 0.4 i oh at v oh (ma) ? 8- - i ol at v ol (ma) 24 - - notes: 1. per eia/jesd8-6, ? the value of v ref is to be selected by the user to provide optimum noise margin in the use conditions specified by the user. ? v ref = 0.9v v tt = 1.5v 50 ? v cco = 1.5v z = 50 ug012_c2_011_121101 figure 2-88: terminated hstl class iv table 2-53: hstl class iv voltage specification parameter min typ max v cco 1.40 1.50 1.60 v ref -0.90- v tt -v cco - 50 ? z = 50 ug012_c2_012_121101 50 ? v ref = 0.9v v tt = 1.5v v tt = 1.5v v cco = 1.5v
ug012 (v1.0) january 31, 2002 www.xilinx.com 325 virtex-ii pro platform fpga handbook 1-800-255-7778 single-ended selecti/o resources r hstl class i (1.8v) figure 2-89 shows a sample circuit illustrating a valid termination technique for hstl_i. ta ble 2 -5 4 lists dc voltage specifications. v ih v ref + 0.1 - - v il --v ref ? 0.1 v oh v cco ? 0.4 - - v ol --0.4 i oh at v oh (ma) ? 8- - i ol at v ol (ma) 48 - - notes: 1. per eia/jesd8-6, ? the value of v ref is to be selected by the user to provide optimum noise margin in the use conditions specified by the user. table 2-53: hstl class iv voltage specification parameter min typ max figure 2-89: terminated hstl class i (1.8v) table 2-54: hstl class i (1.8v) voltage specification parameter min typ max v cco 1.7 1.8 1.9 v ref 0.8 0.9 1.1 v tt -v cco 0.5 - v ih v ref + 0.1 - - v il --v ref ? 0.1 v oh v cco ? 0.4 - - v ol -- 0.4 i oh at v oh (ma) ? 8- - i ol at v ol (ma) 8 - - v ref = 0.9v v tt = 0.9v 50 ? v cco = 1.8v z = 50 ug012_c2_010a_121101
326 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r hstl class ii (1.8v) figure 2-90 shows a sample circuit illustrating a valid termination technique for hstl_ii. ta ble 2 -5 5 lists dc voltage specifications. hstl class iii (1.8v) figure 2-91 shows a sample circuit illustrating a valid termination technique for hstl_iii. ta ble 2 -5 6 lists dc voltage specifications. figure 2-90: terminated hstl class ii (1.8v) table 2-55: hstl class ii (1.8v) voltage specification parameter min typ max v cco 1.7 1.8 1.9 v ref (1) -0.9 - v tt -v cco 0.5 - v ih v ref + 0.1 - - v il --v ref ? 0.1 v oh v cco ? 0.4 - - v ol -- 0.4 i oh at v oh (ma) ? 16 - - i ol at v ol (ma) 16 - - notes: 1. per eia/jesd8-6, ? the value of v ref is to be selected by the user to provide optimum noise margin in the use conditions specified by the user. ? ug012_c2_013a_121101 50 ? z = 50 50 ? v ref = 0.75v v tt = 0.75v v tt = 0.75v v cco = 1.5v figure 2-91: terminated hstl class iii (1.8v) table 2-56: hstl class iii (1.8v) voltage specification parameter min typ max v cco 1.7 1.8 1.9 v ref (1) -1.1 - v tt -v cco - v ref = 1.1v v tt = 1.8v 50 ? v cco = 1.8v z = 50 ug012_c2_011a_121101
ug012 (v1.0) january 31, 2002 www.xilinx.com 327 virtex-ii pro platform fpga handbook 1-800-255-7778 single-ended selecti/o resources r hstl class iv (1.8v) figure 2-92 shows a sample circuit illustrating a valid termination technique for hstl_iv. ta ble 2 -5 7 lists dc voltage specifications. v ih v ref + 0.1 - - v il --v ref ? 0.1 v oh v cco ? 0.4 - - v ol -- 0.4 i oh at v oh (ma) ? 8- - i ol at v ol (ma) 24 - - notes: 1. per eia/jesd8-6, ? the value of v ref is to be selected by the user to provide optimum noise margin in the use conditions specified by the user. ? table 2-56: hstl class iii (1.8v) voltage specification parameter min typ max figure 2-92: terminated hstl class iv (1.8v) table 2-57: hstl class iv (1.8v) voltage specification parameter min typ max v cco 1.7 1.8 1.9 v ref -1.1- v tt -v cco - v ih v ref + 0.1 - - v il --v ref ? 0.1 v oh v cco ? 0.4 - - v ol --0.4 i oh at v oh (ma) ? 8- - i ol at v ol (ma) 48 - - notes: 1. per eia/jesd8-6, ? the value of v ref is to be selected by the user to provide optimum noise margin in the use conditions specified by the user. 50 ? z = 50 ug012_c2_012a_121101 50 ? v ref = 1.1v v tt = 1.8v v tt = 1.8v v cco = 1.8v
328 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r sstl3_i figure 2-93 shows a sample circuit illustrating a valid termination technique for sstl3_i. ta ble 2 -5 8 lists dc voltage specifications. sstl3_ii figure 2-94 shows a sample circuit illustrating a valid termination technique for sstl3_ii. figure 2-93: terminated sstl3_i table 2-58: sstl3_i voltage specifications parameter min typ max v cco 3.0 3.3 3.6 v ref = 0.45 v cco 1.3 1.5 1.7 v tt = v ref 1.3 1.5 1.7 v ih v ref + 0.2 1.5 1.7 3.9 (1) v il v ref ? 0.2 ? 0.3 (2) 1.3 1.5 v oh v ref + 0.6 1.9 2.1 - v ol v ref ? 0.6 - 0.9 1.1 i oh at v oh (ma) ? 8-- i ol at v ol (ma) 8 - - notes: 1. v ih maximum is v cco + 0.3 2. v il minimum does not conform to the formula 50 ? z = 50 ug012_c2_013_121101 25 ? v ref = 1.5v v tt = 1.5v v cco = 3.3v figure 2-94: terminated sstl3_ii 50 ? z = 50 ug012_c2_014_121101 25 ? 50 ? v ref = 1.5v v tt = 1.5v v tt = 1.5v v cco = 3.3v
ug012 (v1.0) january 31, 2002 www.xilinx.com 329 virtex-ii pro platform fpga handbook 1-800-255-7778 single-ended selecti/o resources r ta ble 2 -5 9 lists dc voltage specifications. sstl2_i figure 2-95 shows a sample circuit illustrating a valid termination technique for sstl2_i. ta ble 2 -6 0 lists dc voltage specifications. table 2-59: sstl3_ii voltage specifications parameter min typ max v cco 3.0 3.3 3.6 v ref = 0.45 v cco 1.3 1.5 1.7 v tt = v ref 1.3 1.5 1.7 v ih v ref + 0.2 1.5 1.7 3.9 (1) v il v ref ? 0.2 ? 0.3 (2) 1.3 1.5 v oh v ref + 0.8 2.1 2.3 - v ol v ref ? 0.8 -0.70.9 i oh at v oh (ma) ? 16 - - i ol at v ol (ma) 16 - - notes: 1. v ih maximum is v cco + 0.3 2. v il minimum does not conform to the formula figure 2-95: terminated sstl2_i table 2-60: sstl2_i voltage specifications parameter min typ max v cco 2.3 2.5 2.7 v ref = 0.5 v cco 1.15 1.25 1.35 v tt = v ref + n (1) 1.11 1.25 1.39 v ih v ref + 0.18 1.33 1.43 3.0 (2) v il v ref ? 0.18 ? 0.3 (3) 1.07 1.17 v oh v ref + 0.61 1.76 1.82 1.96 v ol v ref ? 0.61 0.54 0.64 0.74 i oh at v oh (ma) ? 7.6 - - i ol at v ol (ma) 7.6 - - notes: 1. n must be greater than or equal to -0.04 and less than or equal to 0.04. 2. v ih maximum is v cco + 0.3. 3. v il minimum does not conform to the formula. 50 ? z = 50 ug012_c2_015_121101 25 ? v ref = 1.25v v tt = 1.25v v cco = 2.5v
330 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r sstl2_ii figure 2-96 shows a sample circuit illustrating a valid termination technique for sstl2_ii. ta ble 2 -6 1 lists dc voltage specifications. figure 2-96: terminated sstl2_ii table 2-61: sstl2_ii voltage specifications parameter min typ max v cco 2.3 2.5 2.7 v ref = 0.5 v cco 1.15 1.25 1.35 v tt = v ref + n (1) 1.11 1.25 1.39 v ih v ref + 0.18 1.33 1.43 3.0 (2) v il v ref ? 0.18 ? 0.3 (3) 1.07 1.17 v oh v ref + 0.8 1.95 2.05 - v ol v ref ? 0.8 - 0.45 0.55 i oh at v oh (ma) ? 15.2 - - i ol at v ol (ma) 15.2 - - notes: 1. n must be greater than or equal to ? 0.04 and less than or equal to 0.04. 2. v ih maximum is v cco + 0.3. 3. v il minimum does not conform to the formula. 50 ? z = 50 ug012_c2_016_121101 25 ? 50 ? v ref = 1.25v v tt = 1.25v v tt = 1.25v v cco = 2.5v
ug012 (v1.0) january 31, 2002 www.xilinx.com 331 virtex-ii pro platform fpga handbook 1-800-255-7778 single-ended selecti/o resources r pci33_3, pci66_3, and pcix ta ble 2 -6 2 lists dc voltage specifications. lv t tl ta ble 2 -6 3 lists dc voltage specifications. table 2-62: pci33_3, pci66_3, and pcix voltage specifications parameter min typ max v cco 3.0 3.3 3.5 v ref -- - v tt -- - v ih = 0.5 v cco 1.5 1.65 v cco + 0.5 v il = 0.3 v cco ? 0.5 0.99 1.08 v oh = 0.9 v cco 2.7 - - v ol = 0.1 v cco --0.36 i oh at v oh (ma) note 1 - - i ol at v ol (ma) note 1 - - notes: 1. tested according to the relevant specification. table 2-63: lvttl voltage specifications parameter min typ max v cco 3.0 3.3 3.6 v ref -- - v tt -- - v ih 2.0 - 3.6 v il ? 0.5 - 0.8 v oh 2.4 - - v ol --0.4 i oh at v oh (ma) ? 24 - - i ol at v ol (ma) 24 - - notes: 1. v ol and v oh for lower drive currents are sample tested.
332 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r lv c m o s 1 5 ta ble 2 -6 4 lists dc voltage specifications. lv c m o s 1 8 ta ble 2 -6 5 lists dc voltage specifications. table 2-64: lvcmos15 voltage specifications parameter min typ max v cco -1.5 - v ref -- - v tt -- - v ih = 0.7 v cco 1.05 - 1.65 v il = 0.2 v cco ? 0.5 - 0.3 v oh = v cco - 0.45 - 1.05 - v ol --0.4 i oh at v oh (ma) ? 16 - - i ol at v ol (ma) 16 - - table 2-65: lvcmos18 voltage specifications parameter min typ max v cco 1.7 1.8 1.9 v ref -- - v tt -- - v ih = 0.7 v cco 1.19 - 1.95 v il = 0.2 v cco ? 0.5 - 0.4 v oh = v cco - 0.4 1.3 - - v ol --0.4 i oh at v oh (ma) ? 16 - - i ol at v ol (ma) 16 - -
ug012 (v1.0) january 31, 2002 www.xilinx.com 333 virtex-ii pro platform fpga handbook 1-800-255-7778 digitally controlled impedance (dci) r lv c m o s 2 5 ta ble 2 -6 6 lists dc voltage specifications. lv c m o s 3 3 ta ble 2 -6 7 lists dc voltage specifications. digitally controlled impedance (dci) introduction as fpgas get bigger and system clock speeds get faster, pc board design and manufacturing becomes more difficult. with ever faster edge rates, maintaining signal integrity becomes a critical issue. designers must make sure that most pc board traces are terminated properly to avoid reflections or ringing. to terminate a trace, resistors are traditionally added to make the output and/or input match the impedance of the receiver or driver to the impedance of the trace. however, due to the increase in device i/o counts, adding resistors close to the device pins increases the board area and component count, and in some cases might even be physically impossible. table 2-66: lvcmos25 voltage specifications parameter min typ max v cco 2.3 2.5 2.7 v ref -- - v tt -- - v ih 1.7 - 2.7 v il ? 0.5 - 0.7 v oh 1.9 - - v ol --0.4 i oh at v oh (ma) ? 24 - - i ol at v ol (ma) 24 - - table 2-67: lvcmos33 voltage specifications parameter min typ max v cco 3.0 3.3 3.6 v ref -- - v tt -- - v ih 2.0 - 3.6 v il ? 0.5 - 0.8 v oh 2.6 - - v ol --0.4 i oh at v oh (ma) ? 24 - - i ol at v ol (ma) 24 - -
334 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r to address these issues and to achieve better signal integrity, xilinx developed a new i/o technology for the virtex-ii and virtex-ii pro device families, digitally controlled impedance (dci). dci adjusts the output impedance or input termination to accurately match the characteristic impedance of the transmission line. dci actively adjusts the impedance of the i/o to equal an external reference resistance. this compensates for changes in i/o impedance due to process variation. it also continuously adjusts the impedance of the i/o to compensate for variations of temperature and supply voltage fluctuations. in the case of controlled impedance drivers, dci controls the driver impedance to match two reference resistors, or optionally, to match half the value of these reference resistors. dci eliminates the need for external termination resistors. dci provides the termination for transmitters or receivers. this eliminates the need for termination resistors on the board, reduces board routing difficulties and component count, and improves signal integrity by eliminating stub reflection. stub reflection occurs when termination resistors are located too far from the end of the transmission line. with dci, the termination resistors are as close as possible to the output driver or the input buffer, thus, eliminating stub reflections. xilinx dci dci uses two multi-purpose reference pins in each bank to control the impedance of the driver or the parallel termination value for all of the i/os of that bank. the n reference pin (vrn) must be pulled up to v cco by a reference resistor, and the p reference pin (vrp) must be pulled down to ground by another reference resistor. the value of each reference resistor should be equal to the characteristic impedance of the pc board traces, or should be twice that value (configuration option). when a dci i/o standard is used on a particular bank, the two multi-purpose reference pins cannot be used as regular i/os. however, if dci i/o standards are not used in the bank, these pins are available as regular i/o pins. check the virtex-ii pro pinout for detailed pin descriptions. dci adjusts the impedance of the i/o by selectively turning transistors in the i/os on or off. the impedance is adjusted to match the external reference resistors. the impedance adjustment process has two phases. the first phase, which compensates for process variations, is done during the device startup sequence. the second phase, which maintains the impedance in response to temperature and supply voltage changes, begins immediately after the first phase and continues indefinitely, even while the part is operating. by default, the done pin does not go high until the first phase of the impedance adjustment process has completed. for controlled impedance output drivers, the impedance can be adjusted either to match the reference resistors or half the resistance of the reference resistors. for on-chip termination, the termination is always adjusted to match the reference resistors. dci can configure output drivers to be the following types: 1. controlled impedance driver (source termination) 2. controlled impedance driver with half impedance (source termination) it can also configure inputs to have he following types of on-chip terminations: 1. input termination to v cco (single termination) 2. input termination to v cco /2 (split termination, thevenin equivalent) for bidirectional operation, both ends of the line can be dci-terminated permanently: 1. driver with termination to v cco (single termination) 2. driver with termination to v cco /2 (split termination, thevenin equivalent)
ug012 (v1.0) january 31, 2002 www.xilinx.com 335 virtex-ii pro platform fpga handbook 1-800-255-7778 digitally controlled impedance (dci) r alternatively, bidirectional point-to-point lines can use controlled-impedance drivers (with 3-state buffers) on both ends. controlled impedance driver (source termination) some i/o standards, such as lvttl, lvcmos, etc., must have a drive impedance that matches the characteristic impedance of the driven line. dci can provide a controlled impedance output drivers that eliminate reflections without an external source termination. the impedance is set by the external reference resistors, whose resistance should be equal to the trace impedance. the dci i/o standards that support controlled impedance driver are: lvdci_15, lvdci_18, lvdci_25, and lvdci_33. figure 2-97 illustrates a controlled impedance driver inside virtex-ii pro device. controlled impedance driver with half impedance (source termination) dci can also provide drivers with one half of the impedance of the reference resistors. the dci i/o standards that support controlled impedance driver with half-impedance are lvdci_dv2_15, lvdci_dv2_18, and lvdci_dv2_25. figure 2-98 illustrates a controlled driver with half impedance inside a virtex-ii pro device. figure 2-97: controlled impedance driver ug012_c2_047_121101 iob r virtex-ii pro dci z 0 figure 2-98: controlled impedance driver with half impedance ug012_c2_052_121101 iob r/2 virtex-ii pro dci z 0
336 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r input termination to v cco (single termination) some i/o standards, such as hstl class iii, iv, etc., require an input termination to v cco . see figure 2-99 . dci can provide this termination to v cco using single termination. the termination resistance is set by the reference resistors. for gtl and hstl standards, they should be controlled by 50-ohm reference resistors. the dci i/o standards that support single termination are: gtl_dci, gtlp_dci, hstl_iii_dci, hstl_iii_dci_18, hstl_iv_dci., and hstl_iv_dci_18. figure 2-100 illustrates single termination inside a virtex-ii pro device. figure 2-99: input termination to v cco without dci figure 2-100: input termination using dci single termination r ug012_c2_053_121101 v cco v ref z 0 r ug012_c2_054_121101 v cco v ref z 0 virtex-ii pro
ug012 (v1.0) january 31, 2002 www.xilinx.com 337 virtex-ii pro platform fpga handbook 1-800-255-7778 digitally controlled impedance (dci) r input termination to v cco /2 (split termination) some i/o standards, such as hstl class i, ii, sstl3 class i, ii, etc., require an input termination voltage of v cco /2. see figure 2-101 . this is equivalent to having a split termination composed of two resistors. one terminates to v cco , the other to ground. the resistor values are 2r. dci provides termination to v cco /2 using split termination. the termination resistance is set by the external reference resistors, i.e., the resistors to v cc and ground are each twice the reference resistor value. if users are planning to use hstl or sstl standards, the reference resistors should be 50- ohms. the dci i/o standards that support split termination are: hstl_i_dci, hstl_i_dci_18, hstl_ii_dci, hstl_ii_dci_18, sstl2_i_dci, sstl2_ii_dci, sstl3_i_dci, and sstl3_ii_dci. figure 2-102 illustrates split termination inside a virtex-ii pro device. figure 2-101: input termination to v cco /2 without dci figure 2-102: input termination to v cco /2 using dci split termination r ug012_c2_055_121101 v ref z 0 v /2 cco ug012_c2_056_121101 2r v cco v ref 2r z 0 virtex-ii pro
338 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r driver with termination to v cco (single termination) some i/o standards, such as hstl class iv, require an output termination to v cco . figure 2-103 illustrates the output termination to v cco . dci can provide this termination to v cco using single termination. in this case, dci only controls the impedance of the termination, but not the driver. if users are planning to use gtl or hstl standards, the external reference resistors should be 50-ohms. the dci i/o standards that support a driver with single termination are: gtl_dci, gtlp_dci, hstl_iv_dci, and hstl_iv_dci_18. figure 2-104 illustrates a driver with single termination inside a virtex-ii pro device figure 2-103: driver with termination to v cco without dci figure 2-104: driver with termination to v cco using dci single termination ug012_c2_057_121101 r v cco z 0 ug012_c2_058_121101 r v cco z 0 virtex-ii pro
ug012 (v1.0) january 31, 2002 www.xilinx.com 339 virtex-ii pro platform fpga handbook 1-800-255-7778 digitally controlled impedance (dci) r driver with termination to v cco /2 (split termination) some i/o standards, such as hstl class ii, require an output termination to v cco /2. see figure 2-105 . dci can provide this termination to v cco /2 using split termination. it only controls the impedance of the termination, but not the driver. for hstl or sstl standards, the external reference resistors should be 50-ohms. the dci i/o standards that support a driver with split termination are: hstl_ii_dci, hstl_ii_dci_18, sstl2_ii_dci, and sstl3_ii_dci. figure 2-106 illustrates a driver with split termination inside a virtex-ii pro device. figure 2-105: driver with termination to v cco /2 without dci figure 2-106: driver with termination to v cco /2 using dci split termination ug012_c2_059_121101 r v /2 cco z 0 ug012_c2_060_121101 2r v cco 2r z 0 virtex-ii pro
340 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r software support this section lists the valid dci i/o buffer library components and describes how to use dci in the xilinx software. dci i/o buffer library components the dci input buffer library components, including global clock buffer, are the following:  ibufg_gtlp_dci  ibufg_gtl_dci  ibufg_hstl_i_dci  ibufg_hstl_ii_dci  ibufg_hstl_iii_dci  ibufg_hstl_iv_dci  ibufg_hstl_i_dci_18  ibufg_hstl_ii_dci_18  ibufg_hstl_iii_dci_18  ibufg_hstl_iv_dci_18  ibufg_lvdci_15  ibufg_lvdci_18  ibufg_lvdci_25  ibufg_lvdci_33  ibufg_lvdci_dv2_15  ibufg_lvdci_dv2_18  ibufg_lvdci_dv2_25  ibufg_sstl2_i_dci  ibufg_sstl2_ii_dci  ibufg_sstl3_i_dci  ibufg_sstl3_ii_dci  ibuf_gtlp_dci  ibuf_gtl_dci  ibuf_hstl_i_dci  ibuf_hstl_ii_dci  ibuf_hstl_iii_dci  ibuf_hstl_iv_dci  ibuf_lvdci_15  ibuf_lvdci_18  ibuf_lvdci_25  ibuf_lvdci_33  ibuf_lvdci_dv2_15  ibuf_lvdci_dv2_18  ibuf_lvdci_dv2_25  ibuf_sstl2_i_dci  ibuf_sstl2_ii_dci
ug012 (v1.0) january 31, 2002 www.xilinx.com 341 virtex-ii pro platform fpga handbook 1-800-255-7778 digitally controlled impedance (dci) r  ibuf_sstl3_i_dci  ibuf_sstl3_ii_dci the following are dci output buffer library components:  obuf_gtlp_dci  obuf_gtl_dci  obuf_hstl_i_dci  obuf_hstl_ii_dci  obuf_hstl_iii_dci  obuf_hstl_iv_dci  obuf_hstl_i_dci_18  obuf_hstl_ii_dci_18  obuf_hstl_iii_dci_18  obuf_hstl_iv_dci_18  obuf_lvdci_15  obuf_lvdci_18  obuf_lvdci_25  obuf_lvdci_33  obuf_lvdci_dv2_15  obuf_lvdci_dv2_18  obuf_lvdci_dv2_25  obuf_sstl2_i_dci  obuf_sstl2_ii_dci  obuf_sstl3_i_dci  obuf_sstl3_ii_dci the following are dci 3 state output buffer library components:  obuft_gtlp_dci  obuft_gtl_dci  obuft_hstl_i_dci  obuft_hstl_ii_dci  obuft_hstl_iii_dci  obuft_hstl_iv_dci  obuft_hstl_i_dci_18  obuft_hstl_ii_dci_18  obuft_hstl_iii_dci_18  obuft_hstl_iv_dci_18  obuft_lvdci_15  obuft_lvdci_18  obuft_lvdci_25
342 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r  obuft_lvdci_33  obuft_lvdci_dv2_15  obuft_lvdci_dv2_18  obuft_lvdci_dv2_25  obuft_sstl2_i_dci  obuft_sstl2_ii_dci  obuft_sstl3_i_dci  obuft_sstl3_ii_dci the following are dci i/o buffer library components:  iobuf_gtlp_dci  iobuf_gtl_dci  iobuf_hstl_ii_dci  iobuf_hstl_iv_dci  iobuf_sstl2_ii_dci  iobuf_sstl3_ii_dci  iobuf_hstl_ii_dci_18  iobuf_hstl_iv_dci_18  iobuf_lvdci_15  iobuf_lvdci_18  iobuf_lvdci_25  iobuf_lvdci_33  iobuf_lvdci_dv2_15  iobuf_lvdci_dv2_18  iobuf_lvdci_dv2_25 how to use dci in the software there are two ways for users to use dci for virtex-ii pro devices: 1. use the iostandard attribute in the constraint file. 2. instantiate dci input or output buffers in the hdl code. iostandard attribute the iostandard attribute can be entered through the ncf or ucf file. the syntax is as follows: net iostandard = lvdci_25; where is the name between the ipad and ibuf or opad or obuf. for hdl designs, this name is the same as the port name. the following are valid dci attributes for output drivers:  lvd ci_15  lvd ci_18  lvd ci_25  lvd ci_33
ug012 (v1.0) january 31, 2002 www.xilinx.com 343 virtex-ii pro platform fpga handbook 1-800-255-7778 digitally controlled impedance (dci) r  lvdci_dv2_15  lvdci_dv2_15  lvdci_dv2_25 the following are valid dci attributes for terminations:  gtl_dci  gtlp_dci  hstl_i_dci  hstl_ii_dci  hstl_iii_dci  hstl_iv_dci  hstl_i_dci_18  hstl_ii_dci_18  hstl_iii_dci_18  hstl_iv_dci_18  sstl2_i_dci  sstl2_ii_dci  sstl3_i_dci  sstl3_ii_dci vhdl example instantiating dci input and output buffers is the same as instantiating any other i/o buffers. users must make sure that the correct i/o buffer names are used and follow the standard syntax of instantiation. for example, to instantiate a hstl class i output dci buffer, the following syntax can be used: hstl_dci_buffer: obuf_hstl_i_dci port map (i=>data_out, o=>data_out_dci); below is an example vhdl code that instantiates four 2.5v lvdci drivers and four hstl class i outputs. -- module: dci_test -- -- description: vhdl example for dci selecti/o -- device: virtex-ii pro family --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity dci_test is port (clk, reset, ce, control : in std_logic; a, b : in std_logic_vector (3 downto 0); dout : out std_logic_vector (3 downto 0); muxout : out std_logic_vector (3 downto 0)); end dci_test; architecture dci_arch of dci_test is --dci output buffer component declaration component obuf_lvdci_25 port (i : in std_logic; o : out std_logic);
344 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r end component; attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of obuf_lvdci_25 : component is true; attribute black_box_pad_pin of obuf_lvdci_25 : component is "o"; --hstl class i dci output buffer component declaration component obuf_hstl_i_dci port (i : in std_logic; o: out std_logic); end component; attribute syn_black_box of obuf_hstl_i_dci : component is true; attribute black_box_pad_pin of obuf_hstl_i_dci : component is "o"; signal muxout_int : std_logic_vector (3 downto 0); signal dout_int : std_logic_vector (3 downto 0); begin process (clk, reset) begin if (reset = ? 1 ? ) then dout_int<="0000"; elsif (clk ? event and clk= ? 1 ? ) then dout_int<=dout_int+1; end if; end process; process (controls, a, b, dout_int) begin if (control= ? 1 ? ) then muxout_int<=a and b; else muxout_int<=dout_int; end if; end process; u0 : obuf_lvdci_25 port map( i=>dout_int(0), o=>dout(0)); u1 : obuf_lvdci_25 port map( i=>dout_int(1), o=>dout(1)); u2 : obuf_lvdci_25 port map( i=>dout_int(2), o=>dout(2)); u3 : obuf_lvdci_25 port map( i=>dout_int(3), o=>dout(3)); k0 : obuf_hstl_i_dci port map( i=>muxout_int(0), o=>muxout(0)); k1 : obuf_hstl_i_dci port map( i=>muxout_int(1), o=>muxout(1)); k2 : obuf_hstl_i_dci port map( i=>muxout_int(2), o=>muxout(2)); k3 : obuf_hstl_i_dci port map( i=>muxout_int(3),
ug012 (v1.0) january 31, 2002 www.xilinx.com 345 virtex-ii pro platform fpga handbook 1-800-255-7778 digitally controlled impedance (dci) r o=>muxout(3)); end dci_arch; dci in virtex-ii pro hardware dci only works with certain single-ended i/o standards and does not work with any differential i/o standard. dci supports the following virtex-ii pro standards: lvdci, lvdci_dv2, gtl_dci, gtlp_dci, hstl_i_dci, hstl_ii_dci, hstl_iii_dci, hstl_iv_dci, hstl_i_dci_18, hstl_ii_dci_18, hstl_iii_dci_18, hstl_iv_dci_18, sstl2_i_dci, sstl2_ii_dci, sstl3_i_dci, and sstl3_ii_dci. to correctly use dci in a virtex-ii pro device, users must follow the following rules: 1. v cco pins must be connected to the appropriate v cco voltage based on the iostandards in that bank. 2. correct dci i/o buffers must be used in the software either by using iostandard attributes or instantiations in the hdl code. 3. external reference resistors must be connected to multipurpose pins (vrn and vrp) in the bank. these two multipurpose pins cannot be used as regular user i/os. refer to the virtex-ii pro pinouts for the specific pin locations. pin vrn must be pulled up to v cco by its reference resistor. pin vrp must be pulled down to ground by its reference resistor. 4. the value of the external reference resistors should be selected to give the desired output impedance. if using gtl_dci, hstl_dci, or sstl_dci i/o standards, then they should be 50 ohms. 5. the values of the reference resistors must be within the supported range (20 ? ? 100 ? ). 6. follow the dci i/o banking rules. the dci i/o banking rules are the following: 1. v ref must be compatible for all of the inputs in the same bank. 2. v cco must be compatible for all of the inputs and outputs in the same bank. 3. no more than one dci i/o standard using single termination type is allowed per bank. 4. no more than one dci i/o standard using split termination type is allowed per bank. 5. single termination and split termination, controlled impedance driver, and controlled impedance driver with half impedance can co-exist in the same bank. the behavior of dci 3-state outputs is as follows: if a lvdci or lvdci_dv2 driver is in 3-state, the driver is 3-stated. if a driver with single or split termination is in 3-state, the driver is 3-stated but the termination resistor remains. the following section lists any special care actions that must be taken for each dci i/o standard. lvdci_15, lvdci_18, lvdci_25, lvdci_33 using these buffers configures the outputs as controlled impedance drivers. the number extension at the end indicates the v cco voltage that should be used. for example, 15 means v cco =1.5v, etc. there is no slew rate control or drive strength settings for lvdci drivers. lvdci_dv2_15, lvdci_dv2_18, lvdci_dv2_25 using these buffers configures the outputs as controlled drivers with half impedance. the number extension at the end indicates the v cco voltage that should be used. for example,
346 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r 15 means v cco =1.5v, etc. there is no slew rate control or drive strength settings for lvdci_dv2 drivers. gtl_dci gtl does not require a v cco voltage. however, for gtl_dci, v cco must be connected to 1.2v. gtl_dci provides single termination to v cco for inputs or outputs. gtlp_dci gtl+ does not require a v cco voltage. however, for gtlp_dci, v cco must be connected to 1.5v. gtlp_dci provides single termination to v cco for inputs or outputs. hstl_ i_dci, hstl_ iii_dci, hstl_ i_dci_18, hstl_ iii_dci_18 hstl_i_dci provides split termination to v cco /2 for inputs. hstl_iii_dci provides single termination to v cco for inputs. hstl_ ii_dci, hstl_ iv_dci, hstl_ ii_dci_18, hstl_ iv_dci_18 hstl_ii_dci provides split termination to v cco /2 for inputs or outputs. hstl_iv_ dci provides single termination to v cco for inputs or outputs. sstl2_ i_dci, sstl3_i_dci sstl2_i_dci and sstl3_i_dci provide split termination to v cco /2 for inputs. these i/o standards provide sstl compatibility. sstl2_ii_dci, sstl3_ii_dci sstl2_ii_dci and sstl3_ii_dci provide split termination to v cco /2 for inputs. these i/o standards provide sstl compatibility. figure 2-107 provides examples illustrating the use of the hstl_i_dci, hstl_ii_dci, hstl_iii_dci, and hstl_iv_dci i/o standards.
ug012 (v1.0) january 31, 2002 www.xilinx.com 347 virtex-ii pro platform fpga handbook 1-800-255-7778 digitally controlled impedance (dci) r figure 2-107: hstl dci usage examples rr rr r r r r rr 2r 2r r 2r r 2r 2r 2r 2r 2r ug012_c2_65a_121101 conventional dci transmit conventional receive conventional transmit dci receive dci transmit dci receive bidirectional reference resistor recommended z 0 vrn = vrp = r = z 0 50 ? vrn = vrp = r = z 0 50 ? vrn = vrp = r = z 0 50 ? vrn = vrp = r = z 0 50 ? hstl_i hstl_ii hstl_iii hstl_iv n/a n/a r r r r z 0 r r 2r 2r 2r 2r z 0 z 0 z 0 z 0 z 0 z 0 z 0 z 0 z 0 z 0 z 0 z 0 z 0 z 0 z 0 virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci z 0 virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci 2r 2r 2r 2r z 0 r r v cco /2 v cco /2 v cco /2 v cco /2 v cco /2 v cco /2 v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco v cco
348 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r figure 2-108 provides examples illustrating the use of the sstl2_i_dci, sstl2_ii_dci, sstl3_i_dci, and sstl3_ii_dci i/o standards. double-data-rate (ddr) i/o introduction virtex-ii pro devices have dedicated registers in a single iob to implement input, output, and output with 3-state control double-data-rate (ddr) registers. input and output ddr is accomplished with the use of two registers in the iob. a single clock triggers one register on a low to high transition and a second register on a high to low transition. output ddr with 3-state requires the use of four registers in the iob clocked in a similar fashion. figure 2-108: sstl dci usage examples ug012_c2_65b_121101 conventional dci transmit conventional receive conventional transmit dci receive dci transmit dci receive bidirectional reference resistor recommended z 0 vrn = vrp = r = z 0 50 ? vrn = vrp = r = z 0 50 ? vrn = vrp = r = z 0 50 ? vrn = vrp = r = z 0 50 ? sstl2_i sstl2_ii sstl3_i sstl3_ii n/a n/a z 0 r v cco /2 z 0 r/2 rr v cco /2 v cco /2 z 0 r/2 rr v cco /2 v cco /2 z 0 r/2 r v cco /2 z 0 r/2 r v cco /2 z 0 r/2 2r 2r v cco r v cco /2 z 0 r/2 2r 2r v cco z 0 r/2 2r 2r v cco z 0 r/2 2r 2r v cco r v cco v cco /2 2r r v cco v cco /2 2r r v cco /2 z 0 z 0 z 0 r v cco /2 z 0 2r 2r 2r 2r v cco 2r 2r v cco z 0 2r 2r v cco z 0 2r 2r v cco 2r 2r v cco z 0 2r 2r v cco z 0 2r 2r v cco 2r 2r v cco z 0 2r 2r v cco 2r 2r v cco 25 ? 25 ? 25 ? 25 ? 25 ? 25 ? 25 ? 25 ? 25 ? 25 ? 25 ? 25 ? virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci virtex-ii pro dci
ug012 (v1.0) january 31, 2002 www.xilinx.com 349 virtex-ii pro platform fpga handbook 1-800-255-7778 double-data-rate (ddr) i/o r since the introduction of dlls, xilinx devices can generate low-skew clock signals that are 180 degrees out of phase, with a 50/50 duty cycle. these clocks reach the ddr registers in the iob via dedicated routing resources. data flow input ddr input ddr is accomplished via a single input signal driving two registers in the iob. both registers are clocked on the rising edge of their respective clocks. with proper clock forwarding, alternating bits from the input signal are clocked in on the rising edge of the two clocks, which are 180 degrees out of phase. figure 2-109 depicts the input ddr registers and the signals involved. figure 2-109: input ddr dq ce ck set/ preset reset/ clr dq ug002_c2_036_031301 q0 q1 ce ck set/ preset reset/ clr clk1 ce data clk0 set/pre reset/clr
350 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r clk0 and clk1 are 180 degrees out of phase. both registers share the set/pre and reset/clr lines. as shown in figure 2-110 , alternating bits on the data line are clocked in via q0 and q1 while ce is high. the clocks are shifted out of phase by the dcm (clk0 and clk180 outputs) or by the inverter available on the clk1 clock input. figure 2-110: input ddr timing diagram clk0 clk1 ce output q0 output q1 ug002_c2_037_032201 d0a d0a d1a d1a d2a d2a d3a d3a d4a d4a d5a d5a d6a d6a d7a d8a data
ug012 (v1.0) january 31, 2002 www.xilinx.com 351 virtex-ii pro platform fpga handbook 1-800-255-7778 double-data-rate (ddr) i/o r output ddr output ddr registers are used to clock output from the chip at twice the throughput of a single rising-edge clocking scheme. clocking for output ddr is the same as input ddr. the clocks driving both registers are 180 degrees out of phase. the ddr mux selects the register outputs. the output consists of alternating bits from data_1 and data_2. figure 2-111 depicts the output ddr registers and the signals involved. figure 2-111: output ddr dq ce ck set/ preset reset/ clr dq ug002_c2_038_101300 q2 q1 ce ddr mux ck out set/ preset reset/ clr clk1 ce clk2 data 2 data 1 set/pre reset/clr
352 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r both registers share the set/pre and reset/clr line. both registers share the ce line which must be high for outputs to be seen on q1 and q2. figure 2-112 shows the data flow for the output ddr registers. figure 2-112: output ddr timing diagram clk1 clk2 d1a d1b d1c d2a d1a d2a d1b d2b d1c d2b d2c data_1 data_2 out ug002_c2_039_101300 123456
ug012 (v1.0) january 31, 2002 www.xilinx.com 353 virtex-ii pro platform fpga handbook 1-800-255-7778 double-data-rate (ddr) i/o r output ddr with 3-state control the 3-state control allows the output to have one of two values, either the output from the ddr mux or high impedance. the enable signal is driven by a second ddr mux ( figure 2-113 ). this application requires the instantiation of two output ddr primitives. figure 2-113: output ddr with 3-state control dq ce ck set/ preset reset/ clr dq ug012_c2_040_121101 q2 q1 ce ddr mux ck set/ preset reset/ clr cet tri2 tri1 dq ce ck set/ preset reset/ clr dq q2 q1 ce ddr mux ck out set/ preset reset/ clr ce data 1 data 2 clk1 clk2 set/pre reset/clr
354 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r all four registers share the set/preset and reset/clear lines. two registers are required to accomplish the ddr task and two registers are required for the 3-state control. there are two clock enable signals, one for output ddrs performing the ddr function and another for the output ddrs performing the 3-state control function. two 180 degree out of phase clocks are used. clk1 clocks one of the ddr registers and a 3-state register. clk2 clocks the other ddr register and the other 3-state register. the ddr registers and 3-state registers are associated by the clock that is driving them. therefore, the ddr register that is clocked by clk1 is associated to the 3-state register being clocked by clk1. the remaining two registers are associated by clk2. if both 3-state registers are driving a logic high, the output sees a high impedance. if both 3-state registers are driving a logic low, the output sees the values from the ddr mux see figure 2-114 ). when the 3-state registers are not driving the same logic value, the 3-state register being clocked by clk1 is called treg1. the other 3-state register treg2 is clocked by clk2. similarly, the ddr register being clocked by clk1 is called dreg1, and the other ddr register dreg2 is clocked by clk2. if treg1 is driving a logic high and treg2 is driving a logic low, the output sees a high impedance when clk1 is high and the value out of dreg2 when clk2 is high. if treg2 is driving a logic high and treg1 is driving a logic low, the output sees a high impedance when clk2 is high and the value out of dreg1 when clk1 is high. characteristics  all registers in an iob share the same set/pre and reset/clr lines.  the 3-state and output ddr registers have common clocks (otclk1 & otclk2).  all signals can be inverted (with no added delay) inside the iob.  ddr muxing is handled automatically within the iob. there is no manual control of the mux-select. this control is generated from the clock.  when several clocks are used, and when using ddr registers, the floorplan of a design should take into account that the input clock to an iob is shared with a pair of iobs. figure 2-114: timing diagram for output ddr with 3-state control clk1 clk2 data_1 tri 1 out ug002_c2_041_101300 d1a d1a d1b d1c d1d data_2 d2a d2b d2c d2d d2a d1b d2b d1c zzz tri 2
ug012 (v1.0) january 31, 2002 www.xilinx.com 355 virtex-ii pro platform fpga handbook 1-800-255-7778 double-data-rate (ddr) i/o r library primitives input ddr registers are inferred, and dedicated output ddr registers have been provided as primitives for virtex-ii pro designs. input ddr registers consist of two inferred registers that clock in a single data line on each edge. generating 3-state output with ddr registers is as simple as instantiating a primitive. vhdl and verilog instantiation examples are available in vhdl and verilog templates , page 357 . in vhdl, each template has a component declaration section and an architecture section. each part of the template should be inserted within the vhdl design file. the port map of the architecture section should include the design signal names. constraints file syntax is provided where input registers need to be used. these settings force the input ddr registers into the iob. the output registers should be instantiated and do not require any constraints file syntax to be pushed into the iob. figure 2-115: fddrrse symbol: ddr flip-flop with clock enable and synchronous reset and set figure 2-116: fddrcpe symbol: ddr flip-flop with clock enable and asynchronous preset and clr fddrrse d0 s r q d1 ce c0 c1 ug002_c2_034_032201 fddrcpe d0 pre clr q d1 ce c0 c1 ug002_c2_035_101300
356 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r port signals fddrrse data inputs - d0 and d1 d0 and d1 are the data inputs into the ddr flip-flop. data on the d0 input is loaded into the flip-flop when r and s are low and ce is high during a low-to-high c0 clock transition. data on the d1 input is loaded into the flip-flop when r and s are low and ce is high during a low-to-high c1 clock transition. clock enable - ce the enable pin affects the loading of data into the ddr flip-flop. when low, new data is not loaded into the flip-flop. ce must be high to load new data into the flip-flop. clocks - c0 and c1 these two clocks are phase shifted 180 degrees (via the dll) and allow selection of two separate data inputs (d0 and d1). synchronous set - s and synchronous reset - r the reset (r) input, when high, overrides all other inputs and resets the output low during any low-to-high clock transition (c0 or c1). reset has precedence over set. when the set (s) input is high and r is low, the flip-flop is set, output high, during a low-to- high clock transition (c0 or c1). data output - q when power is applied, the flip-flop is asynchronously cleared and the output is low. during normal operation, the value of q is either d0 or d1. the data inputs description above states how the value of q is chosen. fddrcpe data inputs - d0 and d1 d0 and d1 are the data inputs into the ddr flip-flop. data on the d0 input is loaded into the flip-flop when pre and clr are low and ce is high during a low-to-high c0 clock transition. data on the d1 input is loaded into the flip-flop when pre and clr are low and ce is high during a low-to-high c1 clock transition. clock enable - ce the enable pin affects the loading of data into the ddr flip-flop. when low, clock transitions are ignored and new data is not loaded into the flip-flop. ce must be high to load new data into the flip-flop. clocks - c0 and c1 these two clocks are phase shifted 180 degrees (via the dll) and allow selection of two separate data inputs (d0 and d1). asynchronous preset - pre and asynchronous clear - clr the preset (pre) input, when high, sets the q output high. when the clear (clr) input is high, the output is reset to low. data output - q when power is applied, the flip-flop is asynchronously cleared and the output is low. during normal operation, the value of q is either d0 or d1. the data inputs description above states how the value of q is chosen.
ug012 (v1.0) january 31, 2002 www.xilinx.com 357 virtex-ii pro platform fpga handbook 1-800-255-7778 double-data-rate (ddr) i/o r initialization in vhdl or verilog output ddr primitives can be initialized in vhdl or verilog code for both synthesis and simulation. for synthesis, the attributes are attached to the output ddr instantiation and are copied in the edif output file to be compiled by xilinx tools. the vhdl code simulation uses a generic parameter to pass the attributes. the verilog code simulation uses the defparam parameter to pass the attributes. the ddr code examples (in vhdl ad verilog) illustrate the following techniques. location constraints ddr instances can have loc properties attached to them to constrain pin placement. the loc constraint uses the following form. net < net_name > loc=a8; where ? a8 ? is a valid i/o pin location. applications ddr sdram the ddr sdram is an enhancement to the synchronous dram by effectively doubling the data throughput of the memory device. commands are registered at every positive clock edge. input data is registered on both edges of the data strobe, and output data is referenced to both edges of the data strobe, as well as both edges of the clock. clock forwarding ddr can be used to forward a copy of the clock on the output. this can be useful for propagating a clock along with double-data-rate data that has an identical delay. it is also useful for multiple clock generation, where there is a unique clock driver for every clock load. vhdl and verilog templates vhdl and verilog templates are available for output, output with 3-state enable, and input ddr registers. input ddr to implement an input ddr application, paste the following template in your code. ddr_input.vhd library ieee; use ieee.std_logic_1164.all; entity ddr_input is port ( clk : in std_logic; d : in std_logic; rst : in std_logic; q1 : out std_logic; q2 : out std_logic ); end ddr_input; --describe input ddr registers (behaviorally) to be inferred architecture behavioral of ddr_input is
358 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r begin q1reg : process (clk, d, rst) begin if rst= ? 1 ? then --asynchronous reset, active high q1 <= ? 0 ? ; elsif clk ? event and clk= ? 1 ? then --clock event - posedge q1 <= d; end if; end process; q2reg : process (clk, d, rst) begin if rst= ? 1 ? then --asynchronous reset, active high q2 <= ? 0 ? ; elsif clk ? event and clk= ? 0 ? then --clock event - negedge q2 <= d; end if; end process; end behavioral; -- note: you must include the following constraints in the .ucf -- file when running back-end tools, -- in order to ensure that iob ddr registers are used: -- -- inst "q2_reg" iob=true; -- inst "q1_reg" iob=true; -- -- depending on the synthesis tools you use, it may be required to -- check the edif file for modifications to -- original net names...in this case, synopsys changed the -- names: q1 and q2 to q1_reg and q2_reg ddr_input.v module ddr_input (data_in , q1, q2, clk, rst); input data_in, clk, rst; output q1, q2; reg q1, q2; //describe input ddr registers (behaviorally) to be inferred always @ (posedge clk or posedge rst) //rising-edge ddr reg. and asynchronous reset begin if (rst) q1 = 1 ? b0; else q1 = data_in; end always @ (negedge clk or posedge rst) //falling-edge ddr reg. and asynchronous reset
ug012 (v1.0) january 31, 2002 www.xilinx.com 359 virtex-ii pro platform fpga handbook 1-800-255-7778 double-data-rate (ddr) i/o r begin if (rst) q2 = 1 ? b0; else q2 = data_in; end assign data_out = q1 & q2; endmodule /* note: you must include the following constraints in the .ucf file when running back-end tools, \ in order to ensure that iob ddr registers are used: inst "q2_reg" iob=true; inst "q1_reg" iob=true; depending on the synthesis tools you use, it may be required to check the edif file for modifications to original net names...in this case, synopsys changed the names: q1 and q2 to q1_reg and q2_reg */ output ddr to implement an output ddr application, paste the following template in your code. ddr_out.vhd library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library unisim; use unisim.vcomponents.all; --pragma translate_on entity ddr_output is port( clk : in std_logic; --clk and clk180 can be outputs from the dcm or clk180 can be the clk180 : in std_logic; --logical inverse of clk (the inverter is located in the iob and will be inferred. d0 : in std_logic; --data in to fddr d1 : in std_logic; --data in to fddr ce : in std_logic; --clock enable rst : in std_logic; --reset set : in std_logic; --set q : out std_logic --ddr output ); end ddr_output; architecture behavioral of ddr_output is component fddrrse port( q : out std_logic; d0 : in std_logic;
360 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r d1 : in std_logic; c0 : in std_logic; c1 : in std_logic; ce : in std_logic; r : in std_logic; s : in std_logic ); end component; begin u0: fddrrse port map ( q => q, d0 => d0, d1 => d1, c0 => clk, c1 => clk180, ce => ce, r => rst, s => set ); end behavioral; ddr_out.v module ddr_output (d0 , d1, q, clk, clk180, rst, set, ce); input d0, d1, clk, clk180, rst, set, ce; output q; //synchronous output ddr primitive instantiation fddrrse u1 ( .d0(d0), .d1(d1), .c0(clk), .c1(clk180), .ce(ce), .r(rst), .s(set), .q(q) ); endmodule output ddr with 3-state enable to implement an output ddr with 3-state enable, paste the following template in your code: ddr_3state.vhd library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library unisim; use unisim.vcomponents.all; --pragma translate_on entity ddr_3state is port(
ug012 (v1.0) january 31, 2002 www.xilinx.com 361 virtex-ii pro platform fpga handbook 1-800-255-7778 double-data-rate (ddr) i/o r clk : in std_logic; --clk and clk180 can be outputs from the dcm or clk180 can be the clk180 : in std_logic; --logical inverse of clk (the inverter is located in the iob and will be inferred. d0 : in std_logic; --data in to fddr d1 : in std_logic; --data in to fddr ce : in std_logic; --clock enable set : in std_logic; --set rst : in std_logic; --reset en0 : in std_logic; --enable signal en1 : in std_logic; --enable signal data_out : out std_logic --data seen at pad ); end ddr_3state; architecture behavioral of ddr_3state is signal ddr_out, tri : std_logic; component fddrrse port ( q : out std_logic; d0 : in std_logic; d1 : in std_logic; c0 : in std_logic; c1 : in std_logic; ce : in std_logic; r : in std_logic; s : in std_logic ); end component; begin --instantiate ouput ddr registers u0: fddrrse port map(q => tri, d0 => en0, d1 => en1, c0 => clk, c1 => clk180, ce => ce, r => rst, s => set ); --instantiate three-state ddr registers u1: fddrrse port map( q => ddr_out, d0 => d0, d1 => d1, c0 => clk, c1 => clk180, ce => ce, r => rst, s => set ); --inferr the 3-state buffer process(tri, ddr_out) begin if tri = ? 1 ? then
362 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r data_out <= ? z ? ; elsif tri = ? 0 ? then data_out <= ddr_out; end if; end process; end behavioral; ddr_3state.v module ddr_3state (d0 , d1, data_out, en_0, en_1, clk, clk180, rst, set, ce); input d0, d1, clk, clk180, rst, set, ce, en_0, en_1; output data_out; reg data_out; wire q, q_tri; //synchronous output ddr primitive instantiation fddrrse u1 ( .d0(d0), .d1(d1), .c0(clk), .c1(clk180), .ce(ce), .r(rst), .s(set), .q(q) ); //synchronous 3-state ddr primitive instantiation fddrrse u2 ( .d0(en_0), .d1(en_1), .c0(clk), .c1(clk180), .ce(ce), .r(rst), .s(set), .q(q_tri) ); //3-state buffer description always @ (q_tri or q) begin if (q_tri) data_out = 1 ? bz; else data_out = q; end endmodule
ug012 (v1.0) january 31, 2002 www.xilinx.com 363 virtex-ii pro platform fpga handbook 1-800-255-7778 lvds i/o r lvds i/o introduction low voltage differential signaling (lvds) is a very popular and powerful high-speed interface in many system applications. virtex-ii pro i/os are designed to comply with the ieee electrical specifications for lvds to make system and board design easier. with the addition of an lvds current-mode driver in the iobs, which eliminates the need for external source termination in point-to-point applications, and with the choice of an extended mode, virtex-ii pro devices provide the most flexible solution for doing an lvds design in an fpga. ta ble 2 -6 8 lists all lvds primitives that are available for virtex-ii pro devices. the primitives in bold type are pre-existing lvds primitives used in virtex-e and earlier designs. they are not current-mode drivers and are still required for blvds (bus lvds) applications. *ds_lvds_25 = 2.5v v cco lvds buffer there are no differences in the ac characteristics of any lvds i/o. these choices now provide more flexibility for mixed-i/o banking rules: for example, an lvcmos i/o can coexist with the 2.5v lvds buffer in the same bank. *ds_lvdsext* = extended mode lvds buffer this buffer provides a higher drive capability and voltage swing (350 - 750 mv), which makes it ideal for long-distance or cable lvds links. the output ac characteristics of this lvds driver are not within the eia/tia specifications. this lvds driver is intended for situations that require higher drive capabilities in order to produce an lvds signal that is within eia/tia specification at the receiver. creating an lvds input/clock buffer figure 2-117 illustrates the lvds input and clock buffer primitives shown in ta ble 2 -6 9 . the pin names used are the same as those used in the hdl library primitives. table 2-68: available virtex-ii pro lvds primitives input output 3-state clock bi-directional i buf_lvds obuf_lvds obuft_lvds ibufg _lvds iobuf_lvds ibufds_lvds_25 obufds_lvds_25 obuftds_lvds_25 ibufgds_lvds_25 ibufds_lvdsext_25 obufds_lvdsext_25 obuftds_lvdsext_25 ibufgds_lvdsext_25 table 2-69: lvds input and clock buffer primitives lvds inputs lvds clocks ibufds_lvds_25 ibufgds_lvds_25 ibufds_lvdsext_25 ibufgds_lvdsext_25
364 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r to create an lvds input, instantiate the desired mode (2.5v or extended) lvds input buffer. notice that the p and n channels are included in the primitive (i = p, ib = n). software automatically uses the appropriate pin from an adjacent iob for the n channel. the same applies to lvds clocks: use ibufgds_lvds* lvds input hdl examples vhdl instantiation u1: ibufds_lvds_25 port map ( i => data_in_p, ib => data_in_n o => data_in ); verilog instantiation ibufds_lvds_25 u1 ( .i(data_in_p), .ib(data_in_n), .o(data_in) ); port signals i = p-channel data input to the lvds input buffer ib = n-channel data input to the lvds input buffer o = non-differential input data from lvds input buffer location constraints net ? data_in_p ? loc= ? ns ? ; lvds receiver termination all lvds receivers require standard termination. figure 2-118 is an example of a typical termination for an lvds receiver on a board with 50 ? transmission lines. figure 2-117: lvds input and clock primitives ug002_c2_031_100200 + ? i ib o ibufds_lvds*/ibufgds_lvds* figure 2-118: lvds receiver termination pin inx data out lvds line driver n data in z = 50 ? 100 ? z = 50 ? ibufds_lvds virtex-ii pro fpga - - ug012_c2_028_121101 0 0
ug012 (v1.0) january 31, 2002 www.xilinx.com 365 virtex-ii pro platform fpga handbook 1-800-255-7778 lvds i/o r creating an lvds output buffer figure 2-119 illustrates the lvds output buffer primitives:  obufds_lvds_25  obufds_lvdsext_25 the pin names used are the same as those used in the hdl library primitives. to create an lvds output, instantiate the desired mode (2.5 or extended) lvds output buffer. notice that the p and n channels are included in the primitive (o = p, ob = n). software automatically uses the appropriate pin from an adjacent iob for the n channel. lvds output hdl examples vhdl instantiation u1: obufds_lvds_25 port map ( i => data_out, o => data_out_p, ob => data_out_n ); verilog instantiation obufds_lvds_25 u1 ( .i(data_out), .o(data_out_p), .ob(data_out_n) ); port signals i = data input to the lvds input buffer o = p-channel data output ob = n-channel data output location constraints net ? data_out_p ? loc= ? ns ? ; lvds transmitter termination the virtex-ii pro lvds transmitter does not require any termination. ta ble 2 -6 8 lists primitives that correspond to the virtex-ii pro lvds current-mode drivers. virtex-ii pro lvds current-mode drivers are a true current source and produce the proper figure 2-119: lvds output buffer primitives ug002_c2_032_100200 + ? ob o i obufds_lvds*
366 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r (ieee/eia/tia compliant) lvds signal. figure 2-120 illustrates a virtex-ii pro lvds transmitter on a board with 50 ? transmission lines. creating an lvds output 3-state buffer figure 2-121 illustrates the lvds 3-state buffer primitives:  obuftds_lvds_25  obuftds_lvdsext_25 the pin names used are the same as those used in the hdl library primitives. to create an lvds 3-state output, instantiate the desired mode (2.5v, or extended) lvds 3-state buffer. notice that the p and n channels are included in the primitive (o = p, ob = n). software automatically uses the appropriate pin from an adjacent iob for the n channel. lvds 3-state hdl example vhdl instantiation u1: obuftds_lvds_25 port map ( i => data_out, t => tri, o => data_out_p, ob => data_out_n ); verilog instantiation obuftds_lvds_25 u1 ( .i(data_out), .t(tri), figure 2-120: lvds transmitter termination p out outx data out obufds_lvds n data in virtex-ii pro fpga + - ug012_c2_029_121101 100 ? z = 50 ? z = 50 ? 0 0 z = 50 ? z = 50 ? 0 0 figure 2-121: lvds 3-state primitives ug002_c2_033_100200 + ? ob o i t obuftds_lvds*
ug012 (v1.0) january 31, 2002 www.xilinx.com 367 virtex-ii pro platform fpga handbook 1-800-255-7778 lvds i/o r .o(data_out_p), .ob(data_out_n) ); port signals i = data input to the 3-state output buffer t = 3-state control signal o = p-channel data output ob = n-channel data output location constraints net ? data_out_p ? loc = ? ns ? ; lvds 3-state termination the virtex-ii pro lvds 3-state buffer does not require any termination. table 2 -68 lists primitives that correspond to virtex-ii pro lvds current-mode drivers. these drivers are a true current source, and they produce the proper (ieee/eia/tia compliant) lvds signal. figure 2-122 illustrates a simple redundant point-to-point lvds solution with two lvds 3-state transmitters sharing a bus with one lvds receiver and the required termination for the circuit. creating a bidirectional lvds buffer since lvds is intended for point-to-point applications, blvds (bus-lvds) is not an ieee/eia/tia standard implementation and requires careful adaptation of i/o and pcb layout design rules. the primitive supplied in the software library for bi-directional lvds does not use the virtex-ii pro lvds current-mode driver. therefore, source termination is required. refer to xapp243 for examples of blvds termination. the following are vhdl and verilog instantiation examples of virtex-ii pro blvds primitves. vhdl instantiation blvds_io: iobufds_blvds_25 port map ( i => data_out, o => data_in, t => tri, io => data_io_p, iob => data_io_n ); figure 2-122: lvds 3-state termination obuftds_lvds* virtex-ii pro fpga obuftds_lvds* virtex-ii pro fpga + lvds receiver - + + - - ug012_c2_030_121101 100 ?
368 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r verilog instantiation iobufds_blvds_25 blvds_io ( .i(data_out), .o(data_in), .t(tri), .io(data_io_p), .iob(data_io_n) ); port signals i = data output: internal logic to lvds i/o buffer t = 3-state control to lvds i/o buffer io = p-channel data i/o to or from blvds pins iob = n-channel data i/o to or from blvds pins o = data input: off-chip data to lvds i/o buffer location constraints only the p or n channel must be constrained. software automatically places the corresponding channel of the pair on the appropriate pin. ldt lightning data transport (ldt) is a high speed interface and protocol introduced by advanced micro devices. ldt is a differential signaling based interface that is very similar to lvds. virtex-ii pro iobs are equipped with ldt buffers. these buffers also have corresponding software primitives as follows: ibufds_ldt_25 ibufgds_ldt_25 obufds_ldt_25 obuftds_ldt_25 ldt implementation ldt implementation is the same as lvds with ddr, so follow all of the rules and guidelines set forth earlier in this chapter for lvds-ddr, and replace the lvds buffer with the corresponding ldt buffer. for more information on virtex-ii pro ldt electrical specification, refer to the virtex-ii pro data sheet . bitstream encryption virtex-ii pro devices have an on-chip decryptor that can be enabled to make the configuration bitstream (and thus the whole logic design) secure. the user can encrypt the bitstream in the xilinx software, and the virtex-ii pro chip then performs the reverse operation, decrypting the incoming bitstream, and internally recreating the intended configuration. this method provides a very high degree of design security. without knowledge of the encryption/decryption key or keys, potential pirates cannot use the externally intercepted bitstream to analyze, or even to clone the design. system manufacturers can be sure that their virtex-ii pro implemented designs cannot be copied and reverse engineered. also, ip virtex-ii pro chips that contain the correct decryption key. the virtex-ii pro devices store the internal decryption keys in a few hundred bits of dedicated ram, backed up by a small externally connected battery. at <100 na load, the endurance of the battery is only limited by its shelf life. the method used to encrypt the data is data encryption standard (des). this is an official standard supported by the national institute of standards and technology (nist) and the
ug012 (v1.0) january 31, 2002 www.xilinx.com 369 virtex-ii pro platform fpga handbook 1-800-255-7778 bitstream encryption r u. s. department of commerce. des is a symmetric encryption standard that utilizes a 56- bit key. because of the increased sophistication and speed of today ? s computing hardware, single des is no longer considered to be secure. however, the triple data encryption algorithm (tdea), otherwise known as triple des, is authorized for use by u. s. federal organizations to protect sensitive data and is used by many financial institutions to protect their transactions. triple des has yet to be cracked. both des and triple des are available in virtex-ii pro devices. what des is des and triple des are symmetric encryption algorithms. this means that the key to encrypt and the key to decrypt are the same. the security of the data is kept by keeping the key secret. this contrasts to a public key system, like rsa or pgp. one thing to note is that virtex-ii pro devices use des in cipher block chaining mode. this means that each block is combined with the previous encrypted block for added security. des uses a single 56-bit key to encrypt 64-bit blocks one at a time. how triple des is different triple des uses three keys (known as a key bundle or key set), and the encryption algorithm is repeated for each of those keys. if e k (i) and d k (i) denote the encryption and decryption of a data block i using key k, the triple des encryption algorithm is as follows (known as e-d-e): output encrypted = e k3 (d k2 (e k1 (i))) and the decryption algorithm is as follows (known as d-e-d): output decrypted = d k1 (e k2 (d k3 (i))) k 1 = k 2 = k 3 gives the same result as single des. for a detailed description of the des standard, refer to: http://www.itl.nist.gov/fipspubs/fip46-2.htm for a popular description of the origin and the basic concept of des and many other older and newer encryption schemes, see the recent best-seller: the code book by simon singh, doubleday 1999, isbn 0-385-49531-5
370 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r classification and export considerations virtex-ii pro fpgas have been classified by the u. s. department of commerce as an fpld (3a001.a.7), which is the same classification as current fpgas. only the decryptor is on- chip and can only be used to decrypt an incoming bitstream, so the classification has not changed and no new paperwork is required. the software has been classified under eccn#:5d002 and can be exported globally under license exception enc. no changes to current export practices are necessary. creating keys for virtex-ii pro, des or triple des (tdea) can be used. des uses a single 56-bit key, where triple-des always uses three such keys. all of the keys can be chosen by the bitgen program at random, or can be explicitly specified by the user. virtex-ii pro devices can have six separate keys programmed into the device. a particular virtex-ii pro device can store two sets of triple-des keys and can thus accept alternate bitstreams from two competing ip vendors, without providing access to each other ? s design. however, all of the keys must be programmed at once. an encrypted bitstream is created by the bitgen program. keys and key options can be chosen in two ways: by command-line arguments to bitgen, or by specifying a keyfile (with the ? g keyfile command-line option). the bitgen options relevant to encryption are listed in ta ble 2 -7 0 .: table 2-70: bitgen encryption options option description values (default first where appropriate) encrypt whether to encrypt the bitstream no, yes key0 des key 0 pick, < hex string > key1 des key 1 pick, < hex string > key2 des key 2 pick, < hex string > key3 des key 3 pick, < hex string > key4 des key 4 pick, < hex string > key5 des key 5 pick, < hex string > keyfile location of separate key definition file < string > keyseq0 set the key sequence for key 0 (s = single, f = first, m = middle, l = last) s,f,m,l keyseq1 set the key sequence for key 1 s,f,m,l keyseq2 set the key sequence for key 2 s,f,m,l keyseq3 set the key sequence for key 3 s,f,m,l keyseq4 set the key sequence for key 4 s,f,m,l keyseq5 set the key sequence for key 5 s,f,m,l startkey key number to start decryption 0,3 startcbc constant block chaining start value pick, < string >
ug012 (v1.0) january 31, 2002 www.xilinx.com 371 virtex-ii pro platform fpga handbook 1-800-255-7778 bitstream encryption r the key sequence (keyseq) is set to s for single key encryption, f for first key in multi-key encryption, m for middle key in multi-key encryption, and l for last key in multi-key encryption. when the keyfile option is specified, bitgen looks in that file for all other des key options listed above. an example for the input keyfile using triple des is: # comment for key file key 0 0x9ac28ebeb2d83b; key 1 pick; key 2 string for my key; key 3 0x00000000000000; key 4 8774eb3ebb4f84; keyseq 0 f; keyseq 1 m; keyseq 2 l; keyseq 3 f; keyseq 4 m; keyseq 5 l; key startcbc 503f2f655b1b2f82; startkey 0; every key is given in the output key file, with unused key locations set to "0x0000000000000000. ? the proper key sequence prefix is added for all used keys. the prefix is preserved for unused keys, if the user specified a value. the output key file has the same base file name as the .bit file, but with a .nky file extension. the command line equivalent of the input key file above is as follows: bitgen ? g encrypt:yes ? g key0: 0x9ac28ebeb2d83b ? g key1:pick ? g key2: ? string for my key ? ? g key30x00000000000000 ? g key4:8774eb3ebb4f84 ? g keyseq0:f, -g keyseq1:m, -gkeyseq2:l ? g keyseq3:f ? g keyseq4:m ? g keyseq5:l -g startcbc:503f2f655b1b2f82 ? g startkey:0 myinput.ncd if the key file is used, the command line is as follows: bitgen ? g encrypt:yes ? g keyfile: mykeyfile myinput.ncd the output key file from either of the above inputs looks something like this: device 2v40cs144; key 0 0x9ac28ebeb2d83b; key 1 0xdb1adb5f08b972; key 2 0x5452032773c286; key 3 0x00000000000000; key 4 0x8774eb3ebb4f84; key 5 0x00000000000000; keyseq 0 f; keyseq 1 m; keyseq 2 l; keyseq 3 f; keyseq 4 m; keyseq 5 l; key startcbc 0x503f2f655b1b2f82; startkey 0; in the case of the string for key2, if the keyvalue is a character string, bitgen encodes the string into a 56-bit hex string. the same character string gives the same 56-bit hex string every time. this enables passwords or phrases to be used instead of hex strings. the above keys are all specified as 64 bits each. the first 8 bits are used by xilinx as header information and the following 56 bits as the key. bitgen accepts 64 bit keys, but automatically overrides the header, if necessary. because of security issues, the ? g compress option cannot be used with bitstream encryption. also, partial reconfiguration is not allowed.
372 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r loading keys des keys can only be loaded through jtag. the jtag programmer and impact ? tools have the capability to take a .nky file and program the device with the keys. in order to program the keys, a ? key-access mode ? is entered. when this mode is entered, all of the fpga memory, including the keys and configuration data, is cleared. once the keys are programmed, they cannot be reprogrammed without clearing the entire device. this ? key access mode ? is completely transparent to most users. keys are programmed using the isc_program instruction, as detailed in the jtag 1532 specification. svf generation is also supported, if keys are to be programmed using a different method, such as a microprocessor or jtag test software. loading encrypted bitstreams once the device has been programmed with the correct keys, the device can be configured with an encrypted bitstream. non-encrypted bitstreams may also be used to configure the device, and the stored keys are ignored. the method of configuration is not at all affected by encryption. any of the modes may be used, and the signaling does not change (see chapter 3: configuration ). however, all bitstreams must configure the entire device, since partial reconfiguration is not permitted. once the device has been configured with an encrypted bitstream, it cannot be reconfigured without toggling the prog pin, cycling power, or performing the jtag jstart instruction. all of these events fully clear the configuration memory, but none of these events reset the keys as long as v batt or v ccaux are maintained. v batt v batt is a separate battery voltage to allow the keys to remain programmed in the virtex-ii pro device. v batt draws very little current (on the order of na) to keep the keys programmed. a small watch battery is suitable (refer to v batt dc characteristics in the virtex-ii pro data sheet and the battery ? s specifications to estimate its lifetime). while the auxiliary voltage (v ccaux ) is applied, v batt does not draw any current, and the battery can be removed or exchanged. platform generator xilinx platform generator is a tool to help with designing embedded systems on the virtex-ii pro platform. it presents users with a graphical user interface (gui) for creating coreconnect-based embedded systems.  provides memory mapping information  creates fpga implementation files, software interface file, bsps, etc.  user specifies and configures processors, buses, and peripherals for more details, refer to www.xilinx.com/virtex2pro/ . core generator system introduction this section on the xilinx core generator ? system and the xilinx intellectual property (ip) core offerings is provided as an overview of products that facilitate the virtex-ii pro design process. for more detailed and complete information, consult the core generator guide , which can be accessed online in the xilinx software installation, as well as at the
ug012 (v1.0) january 31, 2002 www.xilinx.com 373 virtex-ii pro platform fpga handbook 1-800-255-7778 core generator system r http://toolbox.xilinx.com/docsan/xilinx4/manuals.htm site under the ? design entry tools ? heading. the core generator system the xilinx core generator system is the cataloging, customization, and delivery vehicle for ip cores targeted to xilinx fpgas. this tool is included with all xilinx ise basex, ise foundation, and ise alliance series software packages. the core generator provides centralized access to a catalog of ready-made ip functions ranging in complexity from simple arithmetic operators, such as adders, accumulators, and multipliers, to system- level building blocks, such as filters, transforms, and memories. cores can be displayed alphabetically, by function, by vendor, or by type. each core comes with its own data sheet, which documents the core ? s functionality in detail. the core generator user interface (see figure 2-123 ) has direct links to key xilinx web support pages, such as the xilinx ip center website ( www.xilinx.com/ipcenter ) and xilinx technical support, making it very easy to access the latest virtex-ii pro ip releases and get helpful, up-to-date specifications and information on technical issues. links to partner ip providers are also built into the informational guis for the various partner-supplied alliancecore products described under alliancecore program , page 377 . the use of core generator ip cores in virtex-ii pro designs enables designers to shorten design time, and it also helps them realize high levels of performance and area efficiency without any special knowledge of the virtex-ii pro architecture. the ip cores achieve these high levels of performance and logic density by using xilinx smart-ip ? technology. smart-ip technology smart-ip technology leverages xilinx fpga architectural features, such as look-up tables (luts), distributed ram, segmented routing and floorplanning information, as well as relative location constraints and expert logic mapping to optimize the performance of every core instance in a given xilinx fpga design. in the context of virtex-ii pro cores, smart-ip technology includes the use of the special high-performance virtex-ii pro figure 2-123: core generator user interface ug002_c2_068b_100901
374 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r architectural features, such as embedded 18x18 multipliers, block memory, shift register look-up tables (srl16 ? s), and special wide mux elements. smart-ip technology delivers:  physical layouts optimized for high performance  predictable high performance and efficient resource utilization  reduced power requirements through compact design and interconnect minimization  performance independent of device size  ability to use multiple cores without deterioration of performance  reduced compile time over competing architectures core generator design flow a block diagram of the core generator design flow is shown in figure 2-124 . note: 1. the outputs produced by the core generator consist of an implementation netlist and optional schematic symbol, hdl template files, and hdl simulation model wrapper files. core types parameterized cores the core generator system supplies a wide assortment of parameterized ip cores that can be customized to meet specific virtex-ii pro design needs and size constraints. see figure 2-125 . for each parameterized core, the core generator system supplies:  a customized edif implementation netlist (.edn)  a parameterized verilog or vhdl behavioral simulation model (.v, .vhd) and corresponding wrapper file (also .v, .vhd)  verilog or vhdl templates (.veo, .vho)  an ise foundation or viewlogic ? schematic symbol figure 2-124: core generator design flow core generator system netlist netlist netlist design entry design verification design implementation synthesis (user design only) place & route functional simulation timing simulation user design (hdl or schematic) core generator output files constraints ug002_c2_069_101001 hdl wrapper symbol hdltemplate
ug012 (v1.0) january 31, 2002 www.xilinx.com 375 virtex-ii pro platform fpga handbook 1-800-255-7778 core generator system r the edif implementation netlist is used by the xilinx tools to implement the core. the other design files generated depend on the design entry settings specified (target cae vendor, and design flow type -- schematic or hdl). schematic symbol files are generated when a schematic design flow is specified for the project. parameterized hdl simulation models are provided in two separate hdl simulation libraries, one for verilog functional simulation support, and the other for vhdl functional simulation support. the libraries, which are included as part of the xilinx installation, are in the following locations: $xilinx/verilog/src/xilinxcorelib $xilinx/vhdl/src/xilinxcorelib if using a compiled simulator, these libraries must be precompiled before performing a functional simulation of the cores. an analyze_order file describing the required compile order of these models is included with each xilinxcorelib library, one for verilog (verilog_analyze_order) and one for vhdl (vhdl_analyze_order). for an hdl design flow, verilog and vhdl templates (.veo and .vho files) are also provided to facilitate the integration of the core into the design for the purposes of functional simulation, synthesis, and implementation. the verilog (.v) and vhdl (.vhd) wrapper files are also generated. the wrapper files for a particular core are compiled like normal simulation models. they convey custom parameter values to the corresponding generic, parameterized behavioral model for that core in the xilinxcorelib library. the custom parameter values are used to tailor the behavior of the customized core. the following is a sample vho template: component adder8 port ( a: in std_logic_vector(7 downto 0); b: in std_logic_vector(7 downto 0); c: in std_logic; ce: in std_logic; figure 2-125: core customization window for a parameterized core ug002_c2_070a_100501
376 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r ci: in std_logic; clr: in std_logic; s: out std_logic_vector(8 downto 0)); end component; -- synplicity black box declaration attribute black_box : boolean; attribute black_box of test: component is true; -- comp_tag_end ------ end component declaration ------------ -- the following code must appear in the vhdl architecture -- body. substitute your own instance name and net names. ------------- begin cut here for instantiation template ----- inst_tag your_instance_name : adder8 port map ( a => a, b => b, c => c, ce => ce, ci => ci, clr => clr, s => s); -- inst_tag_end ------ end instantiation template ------------ -- you must compile the wrapper file test.vhd when simulating -- the core, test. when compiling the wrapper file, be sure to -- reference the xilinxcorelib vhdl simulation library. for detailed -- instructions, please refer to the "core generator guide". fixed netlist cores the other type of virtex-ii pro core provided by the core generator is the fixed netlist core. these are preset, non-parameterized designs that are shipped with the following:  a fixed edif implementation netlist (as opposed to one that is customized on the fly)  .veo and .vho templates  non-parameterized .v and .vhd behavioral simulation models  schematic symbol support examples include the fixed netlist xilinx ffts and most alliancecore products. since the hdl behavioral models for fixed netlist cores are not parameterized, the corresponding .veo and .vho template files are correspondingly simple. they do not need to pass customizing parameter values to a library behavioral model. xilinx ip solutions and the ip center the core generator works in conjunction with the xilinx ip center on the world wide web to provide the latest ip and software upgrades. to make the most of this resource, xilinx highly recommends that whenever starting a design, first do a quick search of the xilinx ip center ( www.xilinx.com/ipcenter ) to see whether a ready-made core solution is already available. a complete catalog of xilinx cores and ip tools resides on the ip center, including:  logicore products  alliancecore products  reference designs  xperts partner consultants
ug012 (v1.0) january 31, 2002 www.xilinx.com 377 virtex-ii pro platform fpga handbook 1-800-255-7778 core generator system r  design reuse tools when installing the core generator software, the designer gains immediate access to dozens of cores supplied by the logicore program. in addition, data sheets are available for all alliancecore products, and additional, separately licensed, advanced function logicore products are also available. new and updated virtex-ii pro ip for the core generator can be downloaded from the ip center and added to the core generator catalog. logicore program logicore products are designed, sold, licensed, and supported by xilinx. logicore products include a wide selection of generic, parameterized functions, such as muxes, adders, multipliers, and memory cores which are bundled with the xilinx core generator software at no additional cost to licensed software customers. system-level cores, such as pci, reed-solomon, adpcm, hdlc, pos-phy, and color space converters are also available as optional, separately licensed products. probably, the most common application of the core generator is to use it to quickly generate virtex-ii pro block and distributed memories. a more detailed listing of available virtex-ii pro logicore products is available in ta ble 2-7 1 and on the xilinx ip center website ( www.xilinx.com/ipcenter ). types of ip currently offered by the xilinx logicore program include:  basic elements: logic gates, registers, multiplexers, adders, multipliers  communications and networking: adpcm modules, hdlc controllers, atm building blocks, forward error correction modules, and pos-phy interfaces  dsp and video image processing: cores ranging from small building blocks (e.g., time skew buffers) to larger system-level functions (e.g., fir filters and ffts)  system logic: accumulators, adders, subtracters, complementers, multipliers, integrators, pipelined delay elements, single and dual-port distributed and block ram, rom, and synchronous and asynchronous fifos  standard bus interfaces: pci 64/66 (64-bit, 66 mhz), 64/33 (64-bit, 33 mhz), and 32/33 (32-bit, 3 3mhz) interfaces alliancecore program the alliancecore program is a cooperative effort between xilinx and third-party ip developers to provide additional system-level ip cores optimized for xilinx fpgas. to ensure a high level of quality, alliancecore products are implemented and verified in a xilinx device as part of the certification process. xilinx develops relationships with alliancecore partners who can complement the xilinx logicore product offering. where xilinx does not offer a logicore for a particular function, xilinx partners with an alliancecore partner to offer that function. a large percentage of xilinx alliancecore partners focus on data and telecommunication applications, as well as processor and processor peripheral designs. together, xilinx and the alliancecore partners are able to provide an extensive library of cores to accelerate the design process. alliancecore products include customizable cores which can be configured to exact needs, as well as fixed netlist cores targeted toward specific applications. in many cases, partners can provide cores customized to meet the specific design needs if the primary offerings do not fit the requirements. additionally, source code versions of the cores are often available from the partners at additional cost for those who need maximum flexibility. the library of xilinx and alliancecore ip cores allows designers to leverage the expertise of experienced designers who are well-versed in optimizing designs for virtex-ii pro and other xilinx architectures. this enables designers to obtain high performance and density in the target virtex-ii pro device with a faster time to market.
378 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r reference designs xilinx offers two types of reference designs; application notes (xapps) developed by xilinx, and reference designs developed through the xilinx reference design alliance program. both types are extremely valuable to customers looking for guidance when designing systems. reference designs can often be used as starting points for implementing a broad spectrum of functions in xilinx programmable logic. application notes developed by xilinx usually include supporting design files. they are supplied free of charge, without technical support or warranty. to see currently available reference designs, visit the www.xilinx.com/products/logicore/refdes.htm website. reference designs developed through the xilinx reference design alliance program are developed, owned, and controlled by the partners in the program. the goal of the program is to form strategic engineering and marketing partnerships with other semiconductor manufacturers and design houses so as to assist in the development of high quality, multicomponent reference designs that incorporate xilinx devices and demonstrate how they can operate at the system level with other specialized and general purpose semiconductors. the reference designs in the xilinx reference design alliance program are fully functional and applicable to a wide variety of digital electronic systems, including those used for networking, communications, video imaging, and dsp applications. visit the www.xilinx.com/company/reference_design/referencepartners.htm website to see a list of designs currently available through this program. xperts program xilinx established the xperts program to provide customers with access to a worldwide network of certified design consultants proficient with xilinx platform fpgas, software, and ip core integration. all xpert members are certified and have extensive expertise and experience with xilinx technology in various vertical applications, such as communications and networking, dsp, video and image processing, system i/o interfaces, and home networking. xperts partners are an integral part of xilinx strategy to provide customers with cost- efficient design solutions, while accelerating time to market. for more information on xilinx xperts program, visit the www.xilinx.com/company/consultants/index.htm website. design reuse tools to facilitate the archiving and sharing of ip created by different individuals and workgroups within a company, xilinx offers the ip capture tool. the ip capture tool helps to package design modules created by individual engineers in a standardized format so that they can be cataloged and distributed using the xilinx core generator. a core can take the form of synthesizable vhdl or verilog code, or a fixed function netlist. once it is packaged by the ip capture tool and installed into the core generator, the ? captured ? core can be shared with other designers within a company through an internal network. the ip capture tool is supplied as a separate utility through the xilinx ip center. for more information, see the www.xilinx.com/ipcenter/designreuse/ipic.htm website. core generator summary the core generator delivers a complete catalog of ip including behavioral models, synthesis templates, and netlists with performance guaranteed by xilinx smart-ip technology. it is a repository for logicore products from xilinx, alliancecore products from xilinx partners, and it supports design reuse for internally developed ip. in addition, logicore products are continuously updated to add support for new xilinx architectures, such as virtex-ii pro. the most current ip updates are available from the xilinx ip center. utilizing the core generator library of parameterizable cores, designed by xilinx for xilinx fpgas, the designer can enjoy the advantages of design reuse, including faster time
ug012 (v1.0) january 31, 2002 www.xilinx.com 379 virtex-ii pro platform fpga handbook 1-800-255-7778 core generator system r to market and lower cost solutions. for more information, visit the xilinx ip center www.xilinx.com/ipcenter website. virtex-ii pro ip cores support ta ble 2 -7 1 provides a partial listing of cores available for virtex-ii pro designs. for a complete catalog of virtex-ii pro ip, visit the xilinx ip center www.xilinx.com/ipcenter website. table 2-71: virtex-ii pro ip cores support function vendor name ip type key features application examples software-only ip: memory tests utility xilinx logicore used to test memory interfaces vxworks integration / rtos adaptation layer xilinx logicore delivered with each peripheral with the device driver board support package (bsp) xilinx logicore bsp for the xilinx development board including ppc405 boot code chip support package (csp) xilinx logicore configurable bsp ? delivered through platform generator bus infrastructure: opb arbiter xilinx logicore device driver included plb arbiter xilinx logicore device driver included plb-opb bridge xilinx logicore device driver included opb-plb bridge xilinx logicore device driver included opb ipif modules: ipif-slave attachment xilinx logicore device driver included ipif-master attachment xilinx logicore device driver included ipif-address decode xilinx logicore device driver included ipif-interrupt control xilinx logicore device driver included ipif-read packet fifos xilinx logicore device driver included ipif-write packet fifos xilinx logicore device driver included ipif-dma xilinx logicore device driver included ipif-scatter gather xilinx logicore device driver included memory interfaces: plb external memory controller xilinx logicore sram & flash interface including flash device driver opb external memory controller xilinx logicore sram & flash interface including flash device driver plb ddr controller xilinx logicore ddr memory controller opb bram controller xilinx logicore opb bram controller
380 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r opb zbt controller xilinx logicore zbt memory controller peripherals: interrupt controller xilinx logicore device driver included uart-16550 xilinx logicore device driver included uart-16450 xilinx logicore device driver included iic master & slave xilinx logicore device driver included spi master & slave xilinx logicore device driver included ethernet 10/100 mac xilinx logicore device driver included atm utopia level 2 slave xilinx logicore device driver included timebase/watch dog timer xilinx logicore device driver included timer/counter xilinx logicore device driver included uart - lite xilinx logicore device driver included gpio xilinx logicore device driver included basic elements: bufe-based multiplexer slice xilinx logicore 1-256 bits wide buft-based multiplexer slice xilinx logicore 1-256 bits wide binary counter xilinx logicore 2-256 bits output width binary decoder xilinx logicore 2-256 bits output width bit bus gate xilinx logicore 1-256 bits wide bit gate xilinx logicore 1-256 bits wide bit multiplexer xilinx logicore 1-256 bits wide bus gate xilinx logicore 1-256 bits wide bus multiplexer xilinx logicore io widths up to 256 bits comparator xilinx logicore 1-256 bits wide fd-based parallel register xilinx logicore 1-256 bits wide fd-based shift register xilinx logicore 1-64 bits wide ld-based parallel latch xilinx logicore 1-256 bits wide ram-based shift register xilinx logicore 1-256 bits wide, 1024 words deep communication & networking: 3g fec package xilinx logicore viterbi decoder, turbo codec, convolutional enc 3g wireless infrastructure 3gpp compliant turbo convo- lutional decoder xilinx logicore 3gpp specs, 2 mb/s, ber=10 -6 for 1.5db snr 3g wireless infrastructure 3gpp compliant turbo convo- lutional encoder xilinx logicore compliant w/ 3gpp, puncturing 3g wireless infrastructure 3gpp turbo decoder sysonchip alliancecore 3gpp/umts compliant, imt-2000, 2mb/s data error correction, wireless table 2-71: virtex-ii pro ip cores support (continued) function vendor name ip type key features application examples
ug012 (v1.0) january 31, 2002 www.xilinx.com 381 virtex-ii pro platform fpga handbook 1-800-255-7778 core generator system r 8b/10b decoder xilinx logicore industry std 8b/10b en/decode for serial data transmission physical layer of fiber channel 8b/10b encoder xilinx logicore industry std 8b/10b en/decode for serial data transmission physical layer of fiber channel adpcm 1024 channel amphion alliancecore g.721, 723, 726, 726a, 727, 727a, u-law, a-law dect, voip, cordless telephony adpcm 256 channel amphion alliancecore g.721, 723, 726, 726a, 727, 727a, u-law, a-law dect, voip, cordless telephony adpcm 512 channel amphion alliancecore adpcm 768 channel amphion alliancecore g.721, 723, 726, 726a, 727, 727a, u-law, a-law dect, voip, cordless telephony adpcm speech codec, 32 channel (do-di-adpcm32) xilinx logicore g.726, g.727, 32 duplex channels dect, voip, wireless local loop, dslam, pbx adpcm speech codec, 64 channel (do-di-adpcm64) xilinx logicore g.726, g.727, 64 duplex channels dect, voip, wireless local loop, dslam, pbx boost lite bluetooth base- band processor newlogic alliancecore compliant to bluetooth v1.1, bqb qualified software for l2cap, lhp, hc1, voice support bluetooth applications boost lite bluetooth base- band processor newlogic alliancecore compliant to bluetooth v1.1, bqb qualified software for l2cap, lhp, hc1, voice support bluetooth applications convolutional encoder xilinx logicore k from 3 to 9, puncturing from 2/3 to 12/13 3g base stations, broadcast, wireless lan, cable modem, xdsl, satellite com, uwave dvb-rcs turbo decoder icoding alliancecore dvb-rcs compliant, 9 mb/s data rate, switchable code rates and frame sizes error correction, wireless, dvb, satellite data link flexbus 4 interface core, 16-channel (do-di-flx4c16) xilinx logicore line card: terabit routers & optical switches flexbus 4 interface core, 4-channel (do-di-flx4c4) xilinx logicore line card: terabit routers & optical switches flexbus 4 interface core, 1-channel (do-di-flx4c1) xilinx logicore line card: terabit routers & optical switches hdlc controller core, 32 channels xilinx logicore 32 full duplex, crc-16/32, 8/16-bit address insertion/deletion x.25, pos, cable modems, frame relay switches, video conferencing over isdn hdlc controller core, single channel xilinx logicore 16/32-bit frame seq, 8/16- bit addr insert/delete, flag/zerop insert/detect x.25, pos, cable modems, frame relay switches, video conf. over isdn interleaver/de-interleaver xilinx logicore convolutional, width up to 256 bits, 256 branches broadcast, wireless lan, cable modem, xdsl, satellite com,uwave nets, digital tv table 2-71: virtex-ii pro ip cores support (continued) function vendor name ip type key features application examples
382 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r pe-macmii dual speed 10/100 mb/s ethernet mac alcatel alliancecore 802.3 compliant, supports single & multimode fiber optic devices, m11 interfaces, rmon and etherstate statistics networking, broadband, nic, soho, home networking, storage, routers, switches, printers pos-phy level 3 link layer interface core, 48 channel (do-di-posl3link48a) xilinx logicore pos-phy l3 link layer inter- face, 16-ch (do-di- posl3link16) xilinx logicore line card: terabit routers & optical switches pos-phy l3 link layer inter- face, 4-ch (do-di- posl3link4) xilinx logicore line card: terabit routers & optical switches pos-phy l3 link layer inter- face, 2-ch (do-di- posl3link2) xilinx logicore line card: terabit routers & optical switches pos-phy l3 link layer inter- face, single channel xilinx logicore pos-phy l4 multi-channel in- terface (do-di-posl4mc) xilinx logicore reed-solomon decoder xilinx logicore std or custom coding, 3-12 bit symbol width, up to 4095 symbols broadcast, wireless lan, digital tv, cable modem, xdsl, satellite com, uwave nets reed-solomon decoder tilab alliancecore parameterizable, rtl available error correction, wireless, dsl reed-solomon encoder xilinx logicore std or cust coding, 3-12 bit width, up to 4095 symbols with 256 check symb. broadcast, wireless lan, digital tv, cable modem, xdsl, satellite com, uwave nets sdlc controller cast alliancecore like intel 8xc152 global serial channel, serial comm., hdlc apps, telecom embedded systems, professional audio, video speedrouter network pro- cessor ip alliancecore solution requires speedanalyzer asic, 2.5 gb/s fdx wire speed; net processor (npv) networking, edge and access, switches and routers turbo decoder - 3gpp sysonchip alliancecore 3gpp/umts compliant, 2mb/s data rate error correction, wireless turbo encoder tilab alliancecore 3gpp/umts compliant, upto 4 interleaver laws error correction, wireless turbo_dec turbo decoder tilab alliancecore 3gpp/umts compliant, >2 mb/s data rate error correction, wireless viterbi decoder xilinx logicore puncturing, serial & parallel architecture, 3g base stations, broadcast, wireless lan, cable modem, xdsl, satellite com, uwave table 2-71: virtex-ii pro ip cores support (continued) function vendor name ip type key features application examples
ug012 (v1.0) january 31, 2002 www.xilinx.com 383 virtex-ii pro platform fpga handbook 1-800-255-7778 core generator system r viterbi decoder, ieee 802-com- patible xilinx logicore constraint length(k)=7, g0=171, g1=133 l/mmds, cable modem, broadcast equip, wireless lan, xdsl, sat com, uwave nets digital signal processing: 1024-point complex fft ifft for virtex-ii xilinx logicore 16 bit complex data, 2 ? s comp, forward and inverse transform 16-point complex fft ifft for virtex-ii xilinx logicore 16 bit complex data, 2 ? s comp, forward and inverse transform 256-point complex fft ifft for virtex-ii xilinx logicore 16 bit complex data, 2 ? s comp, forward and inverse transform 32 point complex fft/ifft xilinx logicore 64-point complex fft ifft for virtex-ii xilinx logicore 16 bit complex data, 2 ? s comp, forward and inverse transform bit correlator xilinx logicore 4096 taps, serial/parallel input, 4096 bits width cascaded integrator comb (cic) xilinx logicore 32 bits data width, rate change from 8 to 16384 direct digital synthesizer xilinx logicore 8-65k samples, 32-bits output precision, phase dithering/offset distributed arithmetic fir fil- ter xilinx logicore 32-bit input/coeff width, 1024 taps, 1-8 chan, polyphase, online coeff reload gva-300 virtex-ii dsp hard- ware accelerator gv alliancecore 2 virtex-ii, spartan-ii fpgas, 1 cpld, matlab i/f dsp prototyping lfsr, linear feedback shift register xilinx logicore 168 input widths, srl16/register implementation math functions: accumulator xilinx logicore 1-256s bit wide adder subtracter xilinx logicore 1-256s bit wide dfp2int floating point to in- teger converter digital alliancecore full ieee-754 compliance, 4 pipelines, single precision real format support dsp, math, arithmetic apps dfpadd floating point adder digital alliancecore full ieee-754 compliance, 4 pipelines, single precision real format support dsp, math, arithmetic apps dfpcomp floating point comparator digital alliancecore full ieee-754 compliance, 4 pipelines, single precision real format support dsp, math, arithmetic apps table 2-71: virtex-ii pro ip cores support (continued) function vendor name ip type key features application examples
384 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r dfpdiv floating point divider digital alliancecore full ieee-754 compliance, 15 pipelines, single precision real format support dsp, math, arithmetic apps dfpmul floating point multi- plier digital alliancecore full ieee-754 compliance, 7 pipelines,32x32 mult, single precision real format support dsp, math, arithmetic apps dfpsqrt floating point square root digital alliancecore full ieee-754 compliance, 4 pipelines, single precision real format support dsp, math, arithmetic apps dint2fp integer to floating point converter digital alliancecore full ieee-754 compliance, double word input, 2 pipelines, single precision real output dsp, math, arithmetic apps multiply accumulator (mac) xilinx logicore input width up to 32 bits, 65-bit accumulator, truncation rounding multiply generator xilinx logicore 64-bit input data width, constant, reloadable or variable inputs, parallel/sequential implementation pipelined divider xilinx logicore 32-bit input data width, multiple clock per output sine cosine look up table xilinx logicore 3-10 bit in, 4-32 bit out, distributed/block rom twos complementer xilinx logicore input width up to 256 bits memories & storage elements: asynchronous fifo xilinx logicore 1-256 bits, 15-65535 words, dram or bram, independent i/o clock domains content addressable memory (cam) xilinx logicore 1-512 bits, 2-10k words, srl16 distributed memory xilinx logicore 1-1024 bit, 16-65536 word, ram/rom/srl16, opt output regs and pipelining dual-port block memory xilinx logicore 1-256 bits, 2-13k words single-port block memory xilinx logicore 1-256 bits, 2-128k words synchronous fifo xilinx logicore 1-256 bits, 16-256 words, distributed/block ram microprocessors, controllers & peripherals: 10/100 ethernet mac xilinx logicore interfaces through opb to microblaze ? networking, comm., processor applications ax1610 16-bit risc processor loarant alliancecore 44 opcode, 64-k word data, program, harvard arch. control functions, state mach, coprocessor table 2-71: virtex-ii pro ip cores support (continued) function vendor name ip type key features application examples
ug012 (v1.0) january 31, 2002 www.xilinx.com 385 virtex-ii pro platform fpga handbook 1-800-255-7778 core generator system r c165x microcontroller cast alliancecore microchip 16c5x pic like embedded systems, telecom c68000 microprocessor cast alliancecore mc68000 compatible embedded systems, pro audio, video cpu fpga (virtex-ii) mi- croengine cards nmi alliancecore hitachi sh-3 cpu embedded systems cz80cpu microprocessor cast alliancecore zilog z80 compatible, 8-bit processor embedded systems, communications ddr sdram controller core memec- core alliancecore ddr sdram burst length support for 2,4,8 per access, supports data 16,32, 64, 72. digital video, embedded computing , networking dfpic125x fast risc micro- controller digital alliancecore pic 12c4x like, 2x faster, 12- bit wide instruction set, 33 instructions embedded systems, telecom, audio and video dfpic1655x fast risc micro- controller digital alliancecore s/w compatible with pic16c55x, 14-bit instruction set, 35 instructions embedded systems, telecom, audio and video dfpic165x fast risc micro- controller digital alliancecore pic 12c4x like, 2x faster, 12- bit wide instruction set, 33 instructions embedded systems, telecom, audio and video di2cm i2c bus controller master digital alliancecore i2c-like, multi master, fast/std. modes embedded systems di2cm i2c bus controller slave digital alliancecore i2c-like, slave embedded di2csb i2c bus controller slave base digital alliancecore i2c-like, slave embedded systems dr8051 risc microcontroller digital alliancecore 80c31 instruction set, risc architecture 6.7x faster than standard 8051 embedded systems, telecom, video dr8051base risc microcon- troller digital alliancecore 80c31 instruction set, high speed multiplier, risc architecture 6.7x faster than standard 8051 embedded systems, telecom, video dr8052ex risc microcontrol- ler digital alliancecore 80c31 instruction set, high speed mult/div ,risc 6.7x faster than standard 8051 embedded systems, telecom, video e8254 programmable interval timer/counter einfochips alliancecore three 8-bit parallel ports, 24 programmable io lines, 8- bit bidi data bus processor, i/o interface e8255 peripheral interface einfochips alliancecore three 8-bit parallel ports, 24 programmable io lines, 8- bit bidi data bus processor, i/o interface flip805x-ps microprocessor dolphin alliancecore avg 8x faster & code compatible v. legacy 8051, verification bus monitor, sfr if, dsp focused dsp, telecom, industrial, high speed control iic master and slave xilinx logicore interfaces through opb to microblaze ? networking, com, processor applic table 2-71: virtex-ii pro ip cores support (continued) function vendor name ip type key features application examples
386 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r lavacore configurable java processor core derivation alliancecore 32b data/address optional des internet appliance, industrial control lavacore configurable java processor core derivation alliancecore 32b data/address optional des internet appliance, industrial control lightfoot 32-bit java processor core digital alliancecore 32bit data, 24 bit address, 3 stage pipeline, java/c dev. tools internet appliance, industrial control, havi multimedia, set top boxes microblaze ? soft risc proces- sor xilinx logicore soft risc processor, small footprint networking, communications opb arbiter xilinx logicore bundled in the microblaze development kit processor applications opb gpio xilinx logicore bundled in the microblaze development kit processor applications opb interrupt controller xilinx logicore bundled in the microblaze development kit processor applications opb memory interface (flash, sram) xilinx logicore bundled in the microblaze development kit processor applications opb timer/counter xilinx logicore bundled in the microblaze development kit processor applications opb uart (16450, 16550) xilinx logicore interfaces through opb to microblaze processor applications opb uart lite xilinx logicore bundled in the microblaze development kit processor applications opb wdt xilinx logicore bundled in the microblaze development kit processor applications pf3100 pc/104-plus reconfig- urable module derivation alliancecore pc/104 & pc/104+ devlopment board internet appliance, industrial control spi xilinx logicore interfaces through opb to microblaze networking, communications, processor applications xf-uart asynchronous com- munications core memec- core alliancecore uart and baud rate generator serial data communication standard bus interfaces: pci32 virtex interface design kit (do-di-pci32-dkt) xilinx logicore includes pci32 board, drive development kit, and customer education 3-day training class pci32 virtex interface, ip only (do-di-pci32-ip) xilinx logicore v2.2 comp, assured pci timing, 3.3/5-v, 0-waitstate, cpci hot swap friendly pc add-in boards, cpci, embedded pci64 & pci32, ip only (do-di-pci-al) xilinx logicore v2.2 comp, assured pci timing, 3.3/5-v, 0-waitstate, cpci hot swap friendly pc boards, cpci, embedded, hiperf video, gb ethernet pci64 virtex interface design kit (do-di-pci64-dkt) xilinx logicore v2.2 comp, assured pci timing, 3.3/5-v, 0-waitstate, cpci hot swap friendly pc boards, cpci, embedded, hiperf video, gb ethernet table 2-71: virtex-ii pro ip cores support (continued) function vendor name ip type key features application examples
ug012 (v1.0) january 31, 2002 www.xilinx.com 387 virtex-ii pro platform fpga handbook 1-800-255-7778 core generator system r pci64 virtex interface, ip only (do-di-pci64-ip) xilinx logicore v2.2 comp, assured pci timing, 3.3/5-v, 0-waitstate, cpci hot swap friendly pc boards, cpci, embedded, hiperf video, gb ethernet rapidio 8-bit port lp-lvds phy layer (do-di-rio8-phy) xilinx logicore rapidio interconnect v1.1 compliant, verified with motorola ? s rapidio bus functional model v1.4 routers, switches, backplane, control plane, data path, embedded sys, high speed interface to memory and encryption engines, high end video usb 1.1 device controller memec- core alliancecore compliant with usb1.1 spec., supports vci bus, performs crc, supports 1.5 mb/s & 12 mb/s scanners, printers, handhelds, mass storage video & image processing: 1-d discrete cosine transform xilinx logicore 8-24 bits for coeff & input, 8- 64 pts 2-d dct/idct forward/in- verse discrete cosine trans- form xilinx logicore image, video phone, color laser printers fastjpeg_bw decoder barco- silex alliancecore conforms to iso/iec baseline 10918-1, gray- scale video editing, digital camera, scanners fastjpeg_c decoder barco- silex alliancecore conforms to iso/iec baseline 10918-1, color, multi-scan, gray-scale video editing, digital camera, scanners table 2-71: virtex-ii pro ip cores support (continued) function vendor name ip type key features application examples
388 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 2: design considerations r
ug012 (v1.0) january 31, 2002 www.xilinx.com 389 virtex-ii pro platform fpga handbook 1-800-255-7778 r chapter 3 configuration summary this chapter covers the following topics:  introduction  configuration solutions  master serial programming mode  slave serial programming mode  master selectmap programming mode  slave selectmap programming mode  jtag/ boundary scan programming mode - boundary-scan for virtex-ii pro devices using ieee standard 1149.1 - boundary-scan for virtex-ii pro devices using ieee standard 1532  configuration with multilinx  configuration details  readback introduction virtex-ii pro devices are configured by loading application-specific configuration data into internal memory. configuration is carried out using a subset of the device pins, some of which are dedicated, while others can be reused as general-purpose inputs and outputs after configuration is complete. depending on the system design, several configuration modes are selectable via mode pins. the mode pins m2, m1, and m0 are dedicated pins. an additional pin, hswap_en, is used in conjunction with the mode pins to select whether user i/o pins have pull-up resistors during configuration. by default, hswap_en is tied high (internal pull-up resistor), which shuts off pull-up resistors on the user i/o pins during configuration. when hswap_en is tied low, the pull-up resistors are on and therefore, the user i/os have pull-up resistors during configuration. other dedicated pins are:  cclk - the configuration clock pin  done - configuration status pin  tdi, tdo, tms, tck - boundary-scan pins  prog_b - configuration reset pin
390 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r depending on the configuration mode selected, cclk can be an output generated by the virtex-ii pro fpga or an input accepting externally generated clock data. for correct operation, these pins require a v ccaux of 2.5v to permit lvcmos operations. all dual-function configuration pins are contained in banks 4 and 5. bank 4 contains pins used in serial configuration modes, and banks 4 and 5 contain pins used for selectmap modes. a persist option is available, which can be used to force pins to retain their configuration function even after device configuration is complete. if the persist option is not selected, then the configuration pins with the exception of cclk, prog_b, and done can be used for user i/o in normal operation. the persist option does not apply to boundary-scan related pins. the persist feature is valuable in applications that employ partial reconfiguration, dynamic reconfiguration, or readback. configuration modes virtex-ii pro supports the following configuration modes:  master-serial  slave-serial (default)  master selectmap  slave selectmap  boundary-scan (ieee 1532 and ieee 1149) ta ble 3 -1 shows virtex-ii pro configuration mode pin settings. ta ble 3 -2 lists the total number of bits required to configure each device: table 3-1: virtex-ii pro configuration mode pin settings configuration mode 1 m2 m1 m0 cclk direction data width serial dout 2 master serial 0 0 0 out 1 yes slave serial 1 1 1 in 1 yes master selectmap 0 1 1 out 8 no slave selectmap 1 1 0 in 8 no boundary scan 1 0 1 n/a 1 no notes: 1. the hswap_en pin controls the pullups. setting m2, m1, and m0 selects the configuration mode, while the hswap_en pin controls whether or not the pullups are used. 2. daisy chaining is possible only in modes where serial dout is used. for example, in selectmap modes, the first device does not support daisy chaining of downstream devices. table 3-2: virtex-ii pro bitstream lengths device total number of configuration bits xc2vp2 1,305,440 xc2vp4 3,006,560 xc2vp7 4,485,472 xc2vp20 8,214,624 xc2vp50 19,021,408
ug012 (v1.0) january 31, 2002 www.xilinx.com 391 virtex-ii pro platform fpga handbook 1-800-255-7778 introduction r configuration process and flow the configuration process involves loading the configuration bitstream into the fpga using the selected mode. there are four major phases in the configuration process:  clearing configuration memory  initialization  loading configuration data  device startup figure 3-1 illustrates the configuration process flow. figure 3-1: configuration process sample mode pins master serial/ master selectmap cclk begins no no yes yes yes yes no clear configuration memory power up v ccint >1.2v v ccaux > 1.9v v cco (bank 4) > 1.5v* crc correct? load configuration data frames pull init_b low abort startup start-up sequence operational init_ b = high? prog_b = low ug012_c3_01_111301 *note: if power-up is at room temperature, v cco-4 > or = 1.5v is acceptable.
392 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r power up the v ccint power pins must be supplied with a 1.5v source. (refer to the virtex-ii data sheet for dc characteristics.) the iob voltage input for bank 4 (v cco_4 ) and the auxiliary voltage input (v ccaux ) are also used as a logic input to the power-on-reset (por) circuitry. even if this bank is not being used, v cco_4 must be connected to a 1.5v or greater source. clearing configuration memory in the memory clear phase, non-configuration i/o pins are 3-stated with optional pull-up resistors. the init_b and done pins are driven low by the fpga, and the memory is cleared. after prog_b transitions high, memory is cleared twice and initialization can begin. the init_b pin transitions high when the clearing of configuration memory is complete. a logic low on the prog_b input resets the configuration logic and holds the fpga in the clear configuration memory state. when prog_b is released, the fpga continues to hold init_b low until it has completed clearing all of the configuration memory. the minimum low pulse time for prog_b is defined by the t program timing parameter. there is no maximum value. the power-up timing of configuration signals is shown in figure 3-2 and the corresponding timing characteristics are listed in table 3-3 . figure 3-2: power-up timing configuration signals table 3-3: power-up timing characteristics description symbol value units program latency t pl 4 s per frame max power-on-reset t por ms, max cclk (output) delay t icck s, min s, max program pulse width t program ns, min valid prog_b vcc cclk output or input m0, m1, (required) t pl t icck ds022_020_022900 t por init_b
ug012 (v1.0) january 31, 2002 www.xilinx.com 393 virtex-ii pro platform fpga handbook 1-800-255-7778 introduction r initialization for the initialization phase, the init_b pin is released, the mode pins are sampled, the appropriate pins become active, and the configuration process begins. it is possible to delay configuration by externally holding init_b low. delaying configuration the init_b pin can also be held low externally to delay configuration of the fpga. the fpga samples its mode pins on the rising edge of init_b. after init_b transitions to high, configuration can begin. no additional time-out or waiting periods are required, but configuration does not need to commence immediately after the transition of init_b. the configuration logic does not begin processing data until the synchronization word from the bitstream is loaded. loading configuration data once configuration begins, the target fpga starts to receive data frames. cyclic redundancy checking (crc) is performed before and after the last data frame. crc is also automatically checked after each block write to an internal data register (fdri). if the crc checks prove valid, the device start-up phase can begin. if the crc values do not match, init_b is asserted low to indicate that a crc error has occurred, startup is aborted, and the fpga does not become active. to reconfigure the device, the prog_b pin should be asserted to reset the configuration logic. recycling power also resets the fpga for configuration. for more information on crc calculation, see "cyclic redundancy checking algorithm" on page 440 . the details of loading configuration data in each of the five modes are discussed in the following sections:  master serial programming mode , page 403  master selectmap programming mode , page 406  slave serial programming mode , page 404  slave selectmap programming mode , page 408  jtag/ boundary scan programming mode , page 412
394 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r device startup device startup is a transition phase from the configuration mode to normal programmed device operation. although the order of the start-up events are user programmable via software, the default sequence of events is as follows: upon completion of the start-up sequence, the target fpga is operational. the start-up sequencer is an 8-phase sequential state machine that counts from phase 0 to phase 7. (see figure 3-3 .) the start-up sequencer performs the following tasks:  release the done pin.  negate gts, activating all of the i/os.  assert gwe, allowing all rams and flip-flops to change state.  assert eos. the end-of-start-up flag is always set in phase 7. this is an internal flag that is not user accessible. bitgen options control the order of the start-up sequence. the default start-up sequence is the bold line in figure 3-3 . the start-up sequence can also be stalled at any phase until either done has been externally forced high, or a specified dcm or dci has established lock. for details, see appendix a, ? bitgen and promgen switches and options . ? at the cycle selected for the done to be released, the sequencer always waits in that state until the done is externally released. however, this does not delay the gts or gwe if they are selected to be released prior to done. therefore, done is selected first in the sequence for default settings. figure 3-3: default start-up sequence start-upclk default cycles sync to done 0123 4567 01 done high 23 4567 phase start-upclk phase done gts gwe x138_01_071100 done gts gwe
ug012 (v1.0) january 31, 2002 www.xilinx.com 395 virtex-ii pro platform fpga handbook 1-800-255-7778 introduction r configuration pins certain pins in the fpga are designated for configuration and are listed in ta ble 3-4 . some pins are dedicated to the configuration function and others are dual-function pins that can be user i/o after configuration. mixed voltage environments virtex-ii pro devices have separate voltage sources. v ccint = 1.5v powers the internal circuitry, v ccaux = 2.5v powers the input buffers and auxiliary circuitry, and v cco (1.5, 1.8, 2.5, or 3.3v) powers the iob circuitry. selecti/o is separated into eight banks of i/o groups. each bank can be configured with one of several i/o standards. refer to the design considerations section for i/o banking rules and available i/o standards. before and during configuration, all i/o banks are set for the lvcmos standard, which requires an output voltage (v cco ) of 2.5v for normal operation. table 3-4: configuration pins name direction driver type description dedicated pins cclk input/output active configuration clock. output in master mode. prog_b input asynchronous reset to configuration logic. done input/output active/ open-drain configuration status and start-up control. m2, m1, m0 input configuration mode selection. hswap_en input i/o pullups during configuration. tms input boundary scan mode select. tck input boundary scan clock. tdi input boundary scan data input. tdo output active boundary scan data output. dual function pins din (d0) input/output active bidirectional serial configuration data input/selectmap readback data output. d1:d7 input/output active bidirectional selectmap configuration data input, readback data output. cs_b input chip select (selectmap mode only). rdwr_b input active low write select, read select (selectmap mode only). busy/dout output active serial configuration data output for serial daisy-chains (active). init_b input/output open-drain delay configuration, indicate configuration error.
396 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r all dedicated configuration pins are powered by v ccaux . all dual-function configuration pins are located within banks 4 and 5. as described under configuration process and flow , the v cco_4 input voltage is used as a logic input to the power-on-reset (por) circuitry. for jtag configuration mode, jtag inputs are independent of v cco and work between 2.5v and 3.3v ttl levels. the jtag output (tdo) is an open-drain output. it needs an external 50 ? ? 100 ? pull-up resistor for 100 mhz jtag operation. for serial configuration mode, v cco_4 pins require a 2.5v supply for output configuration pins to operate normally. in serial mode, all of the configuration pins are in bank 4. for selectmap configuration mode, v cco_4 and v cco_5 pins require a 2.5v supply for output configuration pins to operate normally. in selectmap mode, all of the configuration pins are in banks 4 and 5. if the virtex-ii pro device is being configured in serial or selectmap mode, and banks 4 and 5 are being configured for an i/o standard that requires a v cco other than 2.5v, then v cco_4 and v cco_5 (selectmap only) must be switched from 2.5v and used during configuration at the same voltage required after configuration. if readback is performed using selectmap mode after configuration, then v cco_4 and v cco_5 require a 2.5v supply after configuration, as well. configuration solutions several configuration solutions are available to support virtex-ii pro devices , each targeted to specific application requirements. guidance and support (application notes, reference designs, and so forth) is also available for designers looking to develop and implement their own configuration solution for virtex fpgas. system advanced configuration environment (system ace ? ) series the system ace series of configuration solutions offers a system-level configuration manager for designers using multiple fpgas or fpgas requiring multiple bitstreams. this solution combines standard industry flash storage with xilinx-designed configuration control. features common to the entire system ace family include:  support for multiple bitstreams  built-in support for embedded processors in fpgas  support for reconfiguring, updating, or debugging systems over a network  built-in system interface  scalability (density) and re-useability (across many designs)  centralization of configuration control for reduced board space and simpler debugging table 3-5: configuration modes and v cco voltages configuration mode pins used v cco_4 v cco_5 jtag dedicated pins not a concern not a concern serial dedicated pins plus dout, din, and init 2.5v not a concern selectmap dedicated pins plus dual-function pins 2.5v 2.5v
ug012 (v1.0) january 31, 2002 www.xilinx.com 397 virtex-ii pro platform fpga handbook 1-800-255-7778 configuration solutions r  use of excess storage capacity for non-configuration, system storage system ace cf system ace cf (compactflash ? ) solution combines a standard compactflash association (cfa) type-i or type-ii memory module (compactflash or 1" disk drive) with a xilinx-designed ace controller ? configuration control chip. see figure 3-4 . the compactflash card stores an unlimited number of bitstreams and ranges in density from 128 mb to 3 gb. this card is capable of storing one large bitstream or several smaller bitstreams. if several bitstreams are used, the system can be set up so that individual bitstreams are callable as needed, allowing for dynamic reconfiguration of the virtex-ii pro device and other xilinx fpgas in the jtag chain. the ace controller drives bits through the fpga jtag chain and has three other ports:  a port for interfacing with a microprocessor, a network, or a multilinx cable  a port for interfacing with the compactflash card  a port that provides access to the fpga jtag chain for fpga testing or configuration via automatic test equipment or via desktop or third-party programmers for further information on any system ace product, visit the www.xilinx.com/systemace website. system ace multi-package module (mpm) system ace mpm is a multi-package module consisting of a packaged standard flash from amd, a packaged fpga, and a packaged configuration prom, all in a 388-pin bga package. the flash stores configuration and other data, while the fpga acts as an advanced configuration controller and is configured by the prom. this solution provides high density and high-speed configuration capability in a single package, helping to simplify the design and manufacturing process. it is available in 16 mb, 32 mb, and 64 mb densities. system ace soft controller (sc) system ace sc is a downloadable version of the configuration controller found in system ace mpm; versions are provided that support various standard flash interfaces. system ace sc provides all of the features of system mpm without the single package. it allows figure 3-4: system ace compactflash and controller ace compactflash ata bus configuration 1 configuration 2 configuration 3 [microprocessor] tdo tck tms tdi ace controller jtag test port tdo tck tms tdi init_b prog_b ug012_c3_041_121201 8/16-bit bus init_b prog_b virtex-ii pro virtex-ii pro tdo tck tms tdi init_b prog_b
398 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r designers to use the flash memory already in their system to store configuration data. the system ace sc controller is available free of charge in the form of a prom file that can be downloaded from the system ace website. this pre-engineered solution is implemented by connecting up to four flash chips on a board to an fpga that will be used as a configuration controller and then downloading the controller file into a prom. figure 3-5 describes the controller for both system ace mpm and system ace sc. system ace mpm and system ace sc have these unique features:  high speed configuration up to 154 mb/s  support for both selectmap (8-bit) (see figure 3-6 ) and slave serial (1-bit) (see figure 3-7 ) configuration  configuration of multiple fpgas in parallel  bitstream compression for increased storage capability  storage of up to 8 different bitstreams figure 3-5: system ace mpm/sc controller bg388 multi-package module xcv50e cs144 controller amd flash tsop48 xc18v01 controller bitstream configuration formatter flash i/f jtag i/f state machine selectmap slaveserial flash system ace mpm/sc controller 1, 2, 4, or 8 chains of fpgas up to 4 fpgas boundary scan decompressor fpga farm ug002_c3_031_062901
ug012 (v1.0) january 31, 2002 www.xilinx.com 399 virtex-ii pro platform fpga handbook 1-800-255-7778 configuration solutions r figure 3-6: selectmap (8-bit) configuration mpm busy cfg_data[7:0] cfg_mode[2:0] cfg_cclk cfg_init cfg_done cfg_prog cfg_write cfg_cs[0] cfg_cs[1] cfg_cs[2] cfg_cs[3] virtex-ii pro virtex-ii pro busy d[7:0] m[2:0] cclk /init_b /done /prog_b /rdwr_b /cs busy d[7:0] m[2:0] cclk /init_b /done /prog_b /rdwr_b /cs to selectmap fpgas 4.7k 330 4.7k vcc vcc ug012_c3_033_121201 figure 3-7: slave serial (1-bit) configuration system ace mpm cfg_data[0-7] cfg_mode[2] cfg_mode[1] cfg_mode[0] cfg_cclk cfg_init cfg_done cfg_prog 4.7k 330 4.7k vcc vcc vcc virtex-ii pro dout d0 m2 m1 m0 cclk /init_b /done /prog_b virtex-ii pro dout d0 m2 m1 m0 cclk /init_b /done /prog_b to cascaded fpgas cfg_data[0] cfg_data[1-7] to additional slave- serial fpga chains ug012_c3_032_121201
400 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r configuration proms using xc18v00 proms the xc18v00 family of flash in-system programmable (isp) configuration proms offers the flexibility of re-programmability and multiple package offerings, combined with both serial and selectmap fpga configurability. this family is jtag programmable and ranges in density from 256 kb to 4 mb; these proms can also be cascaded to support larger bitstreams. the 18v00 family offers data throughput rates of up to 264 mb/s. it is also capable of triggering fpga reconfiguration via a jtag command. the parts can be jtag programmed via cable, hw-130, or standard third party programmers. the xc18v00 proms are available in so20, pc20, vq44, and pc44 packages. refer to appendix b, xc18v00 series proms for the latest version of the xc18v00 proms data sheet and package diagrams for the entire prom family. see table 3-8 to determine which proms go with which virtex-ii pro fpgas. using xc17v00 proms the xc17v00 family of one-time programmable (otp) proms provides a proven, low- cost, compact, and pre-engineered configuration solution. ranging from 1 mb to 16 mb, this family is also the prom density leader; it can also be daisy-chained to support larger bitstreams. this family supports serial configuration of virtex-ii pro fpgas; in addition, the xc17v08 and xc17v16 support selectmap configuration modes. the xc17v00 family can be used for stabilized designs that are in a high-volume production flow and/or for designs requiring a low-cost solution. xc17v00 proms can be programmed either by using the hw-130 or by using a variety of third-party programmers. the xc17v00 proms are available in vo8, so20, pc20, vq44, and pc44 packages. data sheets for proms are available at www.xilinx.com . see table 3-8 to determine which proms go with which virtex-ii pro fpgas and see appendix b, xc18v00 series proms for package diagrams. flash proms with a cpld configuration controller some designers prefer to leverage existing flash memory in their system to store the configuration bitstreams. a small cpld-based configuration controller can provide the mechanism to access the bitstreams in the flash and deliver them quickly to virtex-ii pro devices. the following application notes describe the details for a serial or selectmap configuration architecture using flash memories and cplds:  xapp079: configuring xilinx fpgas using an xc9500 cpld and parallel prom ( www.xilinx.com/apps/xappsumm.htm#xapp079 ) describes an architecture that configures a chain of virtex-ii pro devices using master-serial mode. see figure 3-8 for an example of fpga configuration using a cpld and a parallel prom.
ug012 (v1.0) january 31, 2002 www.xilinx.com 401 virtex-ii pro platform fpga handbook 1-800-255-7778 configuration solutions r  xapp137: configuring virtex fpgas from parallel eproms with a cpld ( www.xilinx.com/apps/xappsumm.htm#xapp137 ) describes an architecture that configures one or more virtex-ii pro devices using the slave selectmap mode. see figure 3-9 for an example of fpga configuration using a cpld and a parallel eprom. figure 3-8: configuring virtex-ii pro devices using a cpld and parallel prom figure 3-9: configuring virtex-ii pro devices from parallel eproms v cc control signal x079_05_111300 v cc eprom fpga (master) cpld init_b dout clkin ldc_done dout init_b din cclk done prog_b fpga (slave) init_b din cclk done prog_b address[18:0] datain /rom_cs address[18:0] dataout[7:0] /ce /oe v cc control signal oscillator v pu virtex-ii pro d[0:7] done init busy cs d[0:7] program write cclk m2 m1 nc nc m0 ug012_c3_005_121201 cpld program cclk cs0 ce1 write done0 done1 init busy a[0:19] oe/ce a[0:19] oe/ce v pu write eprom or flash (1m x 8) virtex-ii pro d[0:7] done init busy cs program cclk m2 m1 nc nc m0
402 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r embedded solutions using an embedded microcontroller xapp058: xilinx in-system programming using an embedded microcontroller ( www.xilinx.com/apps/xappsumm.htm#xapp058 ) describes a compact and robust process that (re)configures virtex-ii pro devices directly from a microprocessor through the jtag test port of the virtex-ii pro device. the process additionally supports (re)configuration of xc18v00 isp proms and cplds that reside on the jtag scan chain. portable, reference c- code is provided with the application note for rapid implementation. using ieee standard 1532 systems that implement an ieee standard 1532 player are able to configure virtex-ii pro devices and any other 1532-compliant devices using the device bsdl file and 1532 data file. using multilinx or other cables during the development or prototype design stage, designers can program their virtex-ii pro devices directly in system via the xilinx parallel cable iv or multilinx programming cables using the xilinx jtag programmer software or chipscope pro software. the operating system (see ta ble 3-6 ) and configuration mode (see ta ble 3-7 ) determine the appropriate cable selection. selectmap is the fastest cable configuration mode. jtag and serial modes provide roughly equivalent configuration speeds but are slower than selectmap. table 3-6: xilinx cable operating system support cable connection windows 98 windows nt windows 2000 solaris hp-ux parallel cable iv parallel port supported supported supported n/a n/a multilinx usb supported n/a supported n/a n/a multilinx rs-232 supported supported supported supported supported table 3-7: xilinx cable configuration mode support cable jtag slave serial slave selectmap parallel cable iv supported supported n/a multilinx supported supported supported
ug012 (v1.0) january 31, 2002 www.xilinx.com 403 virtex-ii pro platform fpga handbook 1-800-255-7778 master serial programming mode r prom selection guide use ta ble 3-8 to determine which proms go with which virtex-ii pro fpgas. master serial programming mode in serial configuration mode, the fpga is configured by loading one bit per cclk cycle. in master serial mode, the fpga drives the cclk pin. in slave serial mode, the fpgas cclk pin is driven by an external source. in both serial configuration modes, the msb of each data byte is always written to the din pin first. the master serial mode is designed so the fpga can be configured from a serial prom, figure 3-10 . the speed of the cclk is selectable by bitgen options, see appendix a, ? bitgen and promgen switches and options . ? be sure to select a cclk speed supported by the prom. table 3-8: using virtex-ii pro devices with proms virtex-ii pro device bitstream length (bits) prom family prom package 18vxx 17vxx v08 so20 pc20 pc44 vq44 xcv2vp2 1,305,504 18v02 17v01 x (1) x (1) x (1) x (2) x (2) xcv2vp4 3,006,624 18v04 17v04 x x x xcv2vp7 4,485,536 18v04 + 18v512 17v04 +17v01 x (1) xxxx xcv2vp20 8,214,688 2 of 18v04 2, 17v04 x (1) xx xcv2vp50 19,021,472 5 of 18v04 5, 17v04 x (1) xx notes: 1. 17vxx only 2. 18vxx only
404 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r figure 3-10 shows a master serial fpga configuring from a prom. notes: 1. if the virtex-ii pro device has not selected the drivedone option, then an external pull-up resistor of 330 ? should be added to the done pin. this pull-up resistor is not needed if drivedone = yes. notes: 1. for master configurations, the cclk does not transition until after initialization as indicated by the arrow. slave serial programming mode in serial configuration mode, the fpga is configured by loading one bit per cclk cycle. in slave serial mode, the fpgas cclk pin is driven by an external source. in both serial configuration modes, the msb of each data byte is always written to the din pin first. the slave serial configuration mode allows for fpgas to be configured from other logic devices, such as microprocessors, or in a daisy-chain fashion. figure 3-12 shows a master serial fpga configuring from a prom with a slave serial fpga in a daisy-chain with the master. figure 3-10: master serial mode circuit diagram figure 3-11: master serial configuration cloaking sequence prom virtex-ii pro master serial data dout init_b din cclk prog_b done m2 m0 m1 clk ce reset/oe (low reset option used) prog_b optional pull-up on done (1) ug012_c3_011_121201 program init cclk din master clk begins here (1) x138_04_022400 bit 0 bit 1 bit n bit n+1 bit n-63 bit n-64 dout
ug012 (v1.0) january 31, 2002 www.xilinx.com 405 virtex-ii pro platform fpga handbook 1-800-255-7778 slave serial programming mode r daisy-chain configuration virtex-ii pro fpgas can be used in a daisy-chain configuration only with xc4000x, spartanxl, spartan-ii or other virtex fpgas. there are no restrictions on the order of the chain. however, if a virtex-ii pro fpga is placed as the master and a non-virtex-ii pro fpga is placed as a slave, select a configuration cclk speed supported by all devices in the chain. the separate bitstreams for the fpgas in a daisy-chain are required to be combined into a single prom file, by using either the prom file formatter or the promgen utility (see appendix a, ? bitgen and promgen switches and options ? ). separate prom files can not be simply concatenated together to form a daisy-chain bitstream. notes: 1. if none of the devices have been selected to drivedone, then an external pull-up resistor of 330 ? should be added to the common done line. this pull-up resistor is not needed if drivedone = yes. if used, drivedone should be selected only for the last device in the configuration chain. the first device in the chain is the first to be configured. no data is passed onto the dout pin until all the data frames, start-up command, and crc check have been loaded. crc checks only include the data for the current device, not for any others in the chain. after finishing the first stream, data for the next device is loaded. the data for the downstream device appears on dout typically about 80 cclk cycles after being loaded into din. this is due to internal packet processing. each daisy-chained bitstream carries its own synchronization word. nothing of the first bitstream is passed to the next device in the chain other than the daisy-chained configuration data. the done_cycle must be set before gts, or during the same cycle to guarantee each virtex-ii pro device to move to the operation state when all the done pins have been released. when daisy-chaining multiple devices, either set the last device in the chain to drivedone, or add external pull-up resistors to counteract the combined capacitive loading on done. if non-virtex devices are included in the daisy-chain, it is important to figure 3-12: master/slave serial mode circuit diagram prom virtex-ii pro master serial data dout init din cclk prog done m2 m0 m1 clk ce reset/oe (low reset option used) program xc4000x, spartan, virtex slave dout init optional pull-up on done (1) din cclk prog done note (1) m2 m0 m1 ug012_c3_003_121201
406 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r set their bitstreams to synctodone with bitgen options. for more information on virtex bitgen options, see appendix a, ? bitgen and promgen switches and options . ? . notes: 1. for slave configurations, a free running cclk can be used, as shown in figure 3-13 . . master selectmap programming mode the selectmap mode provides an 8-bit bidirectional data bus interface to the virtex-ii pro configuration logic that can be used for both configuration and readback. virtex-ii pro devices can not be serially daisy-chained when the selectmap interface is used. however, they can be connected in a parallel-chain as shown in figure 3-16 . the data pins (d0:d7), cclk, rdwr_b, busy, prog_b, done, and init_b can be connected in common between all of the devices. cs_b inputs should be kept separate so each device can be accessed individually. if all devices are to be configured with the same bitstream, readback figure 3-13: serial configuration clocking sequence table 3-9: master/slave serial mode programming switching description symbol values units cclk din setup/hold, slave mode t dcc /t ccd 5.0/0.0 ns, min din setup/hold, master mode t dsck /t sckd 5.0/0.0 ns, min dout t cco 12.0 ns, max high time t cch 5.0 ns, min low time t ccl 5.0 ns, min maximum frequency f cc_serial 66 mhz, max frequency tolerance, master mode with respect to nominal +45% -30% prog_b init_b cclk din ug002_c3_028_112900 bit 0 bit 1 bit n bit n+1 bit n-63 bit n-64 dout
ug012 (v1.0) january 31, 2002 www.xilinx.com 407 virtex-ii pro platform fpga handbook 1-800-255-7778 master selectmap programming mode r is not being used, and cclk is less than f cc_ selectmap, the cs_b pins can be connected to a common line so the devices are configured simultaneously. notes: 1. if none of the virtex-ii pro devices have been selected to drivedone, add an external 330 ? pull-up resistor to the common done line. this pull-up resistor is not needed if drivedone is selected. if used, drivedone should be selected only for the last device in the configuration chain. the following pins are involved in master selectmap configuration mode: data pins (d[0:7]) the d0 through d7 pins function as a bidirectional data bus in the selectmap mode. configuration data is written to the bus, and readback data is read from the bus. the bus direction is controlled by the rdwr_b signal. see ? configuration details ? on page 431. the d0 pin is considered the msb of each byte. rdwr_b when asserted low, the rdwr_b signal indicates that data is being written to the data bus. when high, the rdwr_b signal indicates that data is being read from the data bus. cs_b the chip select input (cs_b) enables the selectmap data bus. to write or read data onto or from the bus, the cs_b signal must be asserted low. when cs_b is high, virtex-ii pro devices do not drive onto or read from the bus. cclk the cclk pin is a clock output in the master selectmap interface. it synchronizes all loading and reading of the data bus for configuration and readback. the cclk pin is driven by the fpga. data loading to load data in the master selectmap mode, a data byte is loaded on every rising cclk edge as shown in figure 3-15 . if the cclk frequency is less than f cc_ selectmap, this can be done without handshaking. for frequencies above f cc_ selectmap, the busy signal must be monitored. if busy is high, the current byte must be reloaded when busy is low. figure 3-14: virtex-ii pro device interfaced with an 18v00 prom ug002_13_031301 virtex-ii selectmap master d[0:7] cclk prog done init_b data[0:7] cclk rdwr_b cs_b cf ce reset/oe 18v00 prom
408 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r the first byte can be loaded on the first rising cclk edge that init_b is high, and when both cs_b and rdwr_b are asserted low. cs_b and rdwr_b can be asserted anytime before or after init_b has gone high. however, the selectmap interface is not active until after init_b has gone high. the order of cs_b and rdwr_b does not matter, but rdwr_b must be asserted throughout configuration. if rdwr_b is de-asserted before all data has been loaded, the fpga aborts the operation. to complete configuration, the fpga must be reset by prog_b and reconfigured with the entire stream. for applications that need to de-assert rdwr_b between bytes, see "controlled cclk" on page 411 . slave selectmap programming mode the selectmap mode provides an 8-bit bidirectional data bus interface to the virtex-ii pro configuration logic that can be used for both configuration and readback. virtex-ii pro devices can not be serially daisy-chained when the selectmap interface is used. however, they can be connected in a parallel-chain as shown in figure 3-16 . the data pins (d0:d7), cclk, rdwr_b, busy, prog_b, done, and init_b can be connected in common between all of the devices. cs_b inputs should be kept separate so each device can be accessed individually. if all devices are to be configured with the same bitstream, readback is not being used, and cclk is less than f cc_ selectmap, the cs_b pins can be connected to a common line so the devices are configured simultaneously. figure 3-15: data loading in selectmap program init cclk cs write data[0:7] x138_06_022400 byte 0 byte 1 byte n byte n byte n loaded byte n ignored byte 0 loaded device ready busy byte n+1 busy
ug012 (v1.0) january 31, 2002 www.xilinx.com 409 virtex-ii pro platform fpga handbook 1-800-255-7778 slave selectmap programming mode r although figure 3-16 does not show a control module for the selectmap interface, the selectmap interface is typically driven by a processor, micro controller, or some other logic device such as an fpga or a cpld. notes: 1. if none of the virtex-ii pro devices have been selected to drivedone, add an external 330 ? pull-up resistor to the common done line. this pull-up resistor is not needed if drivedone = yes. if used, drivedone should be selected only for the last device in the configuration chain. the following pins are involved in slave selectmap configuration mode: data pins (d[0:7]) the d0 through d7 pins function as a bidirectional data bus in the selectmap mode. configuration data is written to the bus, and readback data is read from the bus. the bus direction is controlled by the rdwr_b signal. see ? configuration details ? on page 431.. the d0 pin is considered the msb of each byte. rdwr_b when asserted low, the rdwr_b signal indicates that data is being written to the data bus. when asserted high, the rdwr_b signal indicates that data is being read from the data bus. cs_b the chip select input (cs_b) enables the selectmap data bus. to write or read data onto or from the bus, the cs_b signal must be asserted low. when cs_b is high, virtex-ii pro devices do not drive onto or read from the bus. figure 3-16: slave selectmap mode circuit diagram prog_b init_b done virtex-ii pro slave selectmap init_b d[0:7] cclk rdwr_b busy cs_b prog_b done m0 m1 m2 cs_b(1) ug012_c3_005a_121201 virtex-ii pro slave selectmap init_b d[0:7] cclk rdwr_b busy cs_b data[0:7] cclk rdwr_b busy prog_b done m0 m1 m2 cs_b(0) optional pull-up on done (1) v cc v cc
410 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r busy when cs_b is asserted, the busy output indicates when the fpga can accept another byte. if busy is low, the fpga reads the data bus on the next rising cclk edge where both cs_b and rdwr_b are asserted low. if busy is high, the current byte is ignored and must be reloaded on the next rising cclk edge when busy is low. when cs_b is not asserted, busy is 3-stated. busy is only necessary for cclk frequencies above f cc_ selectmap. for frequencies at or below f cc_ selectmap, busy is ignored, see "data loading" on page 407 . for parallel chains, as shown in figure 3-16 , where the same bitstream is to be loaded into multiple devices simultaneously, busy should not be used. thus, the maximum cclk frequency for such an application must be less than f cc_ selectmap. cclk unlike the master selectmap mode of configuration, the cclk pin is an input in the slave selectmap mode interface. the cclk signal synchronizes all loading and reading of the data bus for configuration and readback. additionally, the cclk drives internal configuration circuitry. the cclk can be driven either by a free running oscillator or an externally-generated signal. several scenarios exist when configuring the fpga in selectmap mode, depending on the source of cclk. free-running cclk a free-running oscillator can be used to drive virtex-ii pro cclk pins. for applications that can provide a continuous stream of configuration data, refer to the timing diagram discussed in data loading , page 407 . for applications that cannot provide a continuous data stream, missing the clock edges, refer to the timing diagram discussed in non- contiguous data strobe , page 411 . an alternative to a free-running cclk is discussed in controlled cclk , page 411 . express-style loading in express-style loading, a data byte is loaded on every rising cclk edge as shown in figure 3-17 . if the cclk frequency is less than f cc_ selectmap, this can be done without handshaking. for frequencies above f cc_ selectmap, the busy signal must be monitored. if busy is high, the current byte must be reloaded when busy is low. the first byte can be loaded on the first rising cclk edge that init_b is high, and when both cs_b and rdwr_b are asserted low. cs_b and rdwr_b can be asserted anytime before or after init_b has gone high. however, the selectmap interface is not active until after init_b has gone high. the order of cs_b and rdwr_b does not matter, but rdwr_b must be asserted throughout configuration. if rdwr_b is de-asserted before all data has been loaded, the fpga aborts the operation. to complete configuration, the fpga must be reset by prog_b and reconfigured with the entire stream. for applications that need to de-assert rdwr_b between bytes, see "controlled cclk" on page 411.
ug012 (v1.0) january 31, 2002 www.xilinx.com 411 virtex-ii pro platform fpga handbook 1-800-255-7778 slave selectmap programming mode r non-contiguous data strobe in applications where multiple clock cycles might be required to access the configuration data before each byte can be loaded into the selectmap interface, data might not be ready for each consecutive cclk edge. in such a case, the cs_b signal can be de-asserted until the next data byte is valid on the data[0:7] pins. this is demonstrated in figure 3-18 . while cs_b is high, the selectmap interface does not expect any data and ignores all cclk transitions. however, rdwr_b must continue to be asserted while cs_b is asserted. if rdwr_b is high during a positive cclk transition while cs_b is asserted, the fpga aborts the operation. for applications that need to de-assert the rdwr_b signal without de-asserting cs_b, see ? controlled cclk ? . controlled cclk some applications require that rdwr_b be de-asserted between the loading of configuration data bytes asynchronously from the cs_b. typically, this would be due to the rdwr_b signal being a common connection to other devices on the board, such as figure 3-17: ? express style ? continuous data loading in selectmap figure 3-18: separating data loads by multiple cclk cycles using cs_b program init cclk cs write data[0:7] x138_06_022400 byte 0 byte 1 byte n byte n byte n loaded byte n ignored byte 0 loaded device ready busy byte n+1 busy program init cclk cs write data[0:7] x138_07_112999 byte 0 byte 1 byte n byte n loaded byte 0 loaded cclk and write ignored byte 1 loaded high-z high-z high-z busy
412 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r memory storage elements. in such a case, driving cclk as a controlled signal instead of a free-running oscillator makes this type of operation possible. in figure 3-19 , the cclk, cs_b, and rdwr_b are asserted low while a data byte becomes active. once the cclk has gone high, the data is loaded. rdwr_b can be de-asserted and re-asserted as many times as necessary, just as long as it is low before the next rising cclk edge. jtag/ boundary scan programming mode introduction virtex-ii pro devices support the new ieee 1532 standard for in-system configuration (isc), based on the ieee 1149.1 standard. the ieee 1149.1 test access port and boundary- scan architecture is commonly referred to as jtag. jtag is an acronym for the joint test action group, the technical subcommittee initially responsible for developing the standard. this standard provides a means to assure the integrity of individual components and the interconnections between them at the board level. with increasingly dense multi- layer pc boards, and more sophisticated surface mounting techniques, boundary-scan testing is becoming widely used as an important debugging standard. devices containing boundary-scan logic can send data out on i/o pins in order to test connections between devices at the board level. the circuitry can also be used to send signals internally to test the device specific behavior. these tests are commonly used to detect opens and shorts at both the board and device level. in addition to testing, boundary-scan offers the flexibility for a device to have its own set of user-defined instructions. the added common vendor specific instructions, such as configure and verify, have increased the popularity of boundary-scan testing and functionality. figure 3-19: controlling cclk for rdwr_b de-assertion table 3-10: selectmap write timing characteristics description symbol value units cclk d 0-7 setup/hold t smdcc /t smccd 5.0/0.0 ns, min cs_b setup/hold t smcscc /t smcccs 7.0/0.0 ns, min rdwr_b setup/hold t smccw /t smwcc 7.0/0.0 ns, min busy propagation delay t smckby 12.0 ns, max maximum frequency f cc_ selectmap 66 mhz, max maximum frequency with no handshake f ccnh 66 mhz, max cclk cs write data[0:7] x138_08_120299 byte 0 byte 1 byte n
ug012 (v1.0) january 31, 2002 www.xilinx.com 413 virtex-ii pro platform fpga handbook 1-800-255-7778 jtag/ boundary scan programming mode r boundary-scan for virtex-ii pro devices using ieee standard 1149.1 the virtex-ii pro family is fully compliant with the ieee standard 1149.1 test access port and boundary-scan architecture. the architecture includes all mandatory elements defined in the ieee 1149.1 standard. these elements include the test access port (tap), the tap controller, the instruction register, the instruction decoder, the boundary-scan register, and the bypass register. the virtex-ii pro family also supports some optional instructions; the 32-bit identification register, and a configuration register in full compliance with the standard. outlined in the following sections are the details of the jtag architecture for virtex-ii pro devices. test access port the virtex-ii pro tap contains four mandatory dedicated pins as specified by the protocol ( ta ble 3-11 ). there are three input pins and one output pin to control the 1149.1 boundary-scan tap controller. there are optional control pins, such as trst (test reset) and enable pins, which might be found on devices from other manufacturers. it is important to be aware of these optional signals when interfacing xilinx devices with parts from different vendors, because they might need to be driven. the tap controller is a 16-state state machine shown in figure 3-20 . the four mandatory tap pins are outlined below.  tms - this pin determines the sequence of states through the tap controller on the rising edge of tck. tms has an internal resistive pull-up to provide a logic high if the pin is not driven.  tck - this pin is the jtag test clock. it sequences the tap controller and the jtag registers in the virtex-ii pro devices.  tdi - this pin is the serial input to all jtag instruction and data registers. the state of the tap controller and the current instruction held in the instruction register determine which register is fed by the tdi pin for a specific operation. tdi has an internal resistive pull-up to provide a logic high to the system if the pin is not driven. tdi is applied into the jtag registers on the rising edge of tck.  tdo - this pin is the serial output for all jtag instruction and data registers. the state of the tap controller and the current instruction held in the instruction register determine which register (instruction or data) feeds tdo for a specific operation. tdo changes state on the falling edge of tck and is only active during the shifting of instructions or data through the device. this pin is 3-stated at all other times. notes: as specified by the ieee standard, the tms and tdi pins all have internal pull-up resistors. these internal pull-up resistors of 50-150 k ? are active, regardless of the mode selected. for jtag configuration mode, jtag inputs are independent of v cco and work between 2.5v and 3.3v ttl levels. the jtag output (tdo) is an open-drain output. it needs an external 50 ? ? 100 ? pull-up resistor for 100 mhz jtag operation. table 3-11: virtex-ii pro tap controller pins pin description tdi test data in tdo test data out tms test mode select tck test clock
414 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r tap controller figure 3-20 diagrams a 16-state finite state machine. the four tap pins control how data is scanned into the various registers. the state of the tms pin at the rising edge of tck determines the sequence of state transitions. there are two main sequences, one for shifting data into the data register and the other for shifting an instruction into the instruction register. figure 3-20: state diagram for the tap controller 1 x139_01_112399 test-logic-reset 0 run-test/idle 1 select-dr-scan 0 1 0 capture-dr capture-ir 0 1 0 0 shift-dr shift-ir 1 0 1 0 exit1-dr exit1-ir 0 1 0 pause-dr pause-ir 1 0 1 exit2-dr 1 exit2-ir 1 update-dr 1 update-ir 1 select-ir-scan 0 1 0 0 0 1 1 0 note: the value shown adjacent to each state transition in this figure represents the signal present at tms at the time of a rising edge at tck.
ug012 (v1.0) january 31, 2002 www.xilinx.com 415 virtex-ii pro platform fpga handbook 1-800-255-7778 jtag/ boundary scan programming mode r boundary-scan instruction set to determine the operation to be invoked, an instruction is loaded into the instruction register (ir). the length of the ir is device size specific. the ir is 10 bits wide on the xc2vp2, xc2vp4, and xc2vp7; 14 bits wide on the xc2vp20; and 22 bits wide on the xc2vp50. the bottom six bits of the instruction codes are the same for all devices sizes, to support the new ieee standard 1532 for in-system configurable (isc) devices. the additional ir bits for each instruction are 1 ? s. ta ble 3-1 2 lists the available instructions for virtex-ii pro devices. the mandatory ieee 1149.1 commands are supported in virtex-ii pro devices, as well as several xilinx vendor-specific commands. virtex-ii pro devices have a powerful command set. the extest, intest, sample/preload, bypass, idcode, usercode, and highz instructions are all included. the tap also supports two internal user-defined registers (user1 and user2) and configuration/readback of the device. the virtex-ii pro boundary-scan operations are independent of mode selection. the boundary-scan mode in virtex-ii pro devices overrides other mode selections. for this reason, boundary-scan instructions using the boundary-scan register (sample/preload, intest, extest) must not be performed during configuration. all instructions except user1 and user2 are available before a virtex-ii pro device is configured. after configuration, all instructions are available. jstart and jshutdown are instructions specific to the virtex-ii pro architecture and configuration flow. as described in table 3-12 , the jstart and jshutdown instructions clock the startup sequence when the appropriate bitgen option is selected. the instruction does not work correctly without the correct bitgen option selected. bitgen -g startupclk:jtagclk designname.ncd table 3-12: virtex-ii pro boundary scan instructions for xc2vp2 through xc2vp7 boundary scan command binary code (9:0) description extest 1111000000 enables boundary-scan extest operation sample 1111000001 enables boundary-scan sample operation user1 1111000010 access user-defined register 1 user2 1111000011 access user-defined register 2 cfg_out 1111000100 access the configuration bus for readback cfg_in 1111000101 access the configuration bus for configuration intest 1111000111 enables boundary-scan intest operation usercode 1111001000 enables shifting out user code idcode 1111001001 enables shifting out of id code highz 1111001010 3-states output pins while enabling the bypass register jstart 1111001100 clocks the start-up sequence when startclk is tck jshutdown 1111001101 clocks the shutdown sequence bypass 1111111111 enables bypass jprog_b 1111001011 equivalent to and has the same affect as prog_b reserved all other codes xilinx reserved instructions
416 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r for details on the standard boundary-scan instructions extest, intest, and bypass, refer to the ieee standard. the user-defined registers (user1/user2) are described in user1, user2 registers , page 419 . boundary-scan architecture virtex-ii pro device registers include all registers required by the ieee 1149.1 standard. in addition to the standard registers, the family contains optional registers for simplified testing and verification ( ta ble 3-1 3 ). boundary-scan register the test primary data register is the boundary-scan register. boundary-scan operation is independent of individual iob configurations. each iob, bonded or un-bonded, starts as bidirectional with 3-state control. later, it can be configured to be an input, output, or 3-state only. therefore, three data register bits are provided per iob ( figure 3-21 ). when conducting a data register (dr) operation, the dr captures data in a parallel fashion during the capture-dr state. the data is then shifted out and replaced by new data during the shift-dr state. for each bit of the dr, an update latch is used to hold the input data stable during the next shift-dr state. the data is then latched during the update- dr state when tck is low. the update latch is opened each time the tap controller enters the update-dr state. care is necessary when exercising an intest or extest to ensure that the proper data has been latched before exercising the command. this is typically accomplished by using the sample/preload instruction. consider internal pull-up and pull-down resistors when developing test vectors for testing opens and shorts. the boundary-scan mode determines if the iob has a pull-up resistor. figure 3-21 is a representation of virtex-ii pro boundary-scan architecture. table 3-13: virtex-ii pro jtag registers register name register length description instruction register device specific holds current instruction opcode and captures internal device status. boundary scan register 3 bits per i/o controls and observes input, output, and output enable. bypass register 1 bit device bypass. identification register 32 bits captures device id. jtag configuration register 64 bits allows access to the configuration bus when using the cfg_in or cfg_out instructions. usercode register 32 bits captures user-programmable code
ug012 (v1.0) january 31, 2002 www.xilinx.com 417 virtex-ii pro platform fpga handbook 1-800-255-7778 jtag/ boundary scan programming mode r bit sequence the order in each non-tap iob is described in this section. the input is first, then the output, and finally the 3-state iob control. the 3-state iob control is closest to the tdo. the input-only pins contribute only the input bit to the boundary-scan i/o data register. the bit sequence of the device is obtainable from the ? boundary-scan description language files ? (bsdl files) for the virtex family. these files can be obtained from the xilinx software download area. the bit sequence is independent of the design. it always has the same bit order and the same number of bits. bypass register the other standard data register is the single flip-flop bypass register. it passes data serially from the tdi pin to the tdo pin during a bypass instruction. this register is initialized to zero when the tap controller is in the capture-dr state. instruction register the instruction register loads the opcode necessary for the virtex-ii pro boundary-scan instruction set. this register loads the current opcode and captures internal device status. the width of this register is device size specific. configuration register (boundary-scan) the configuration register is a 64-bit register. this register allows access to the configuration bus and readback operations. figure 3-21: virtex series boundary scan logic tdo ug002_c4_040_112800 dout pa d 0buf ibuf ib i_jtagb ijtag tdi clockdr updatedr intest o ts extest shiftdr resetb ib
418 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r identification register virtex devices have a 32-bit identification register, commonly referred to as the idcode register. this register is based upon ieee standard 1149.1 and allows easy identification of the part being tested or programmed via boundary scan. virtex-ii pro identification register the virtex-ii pro jtag id code register has the following format. where v is the revision code and f is the 7-bit family code = 0001000 0x08 a is the number of array rows in the part expressed in 9 bits . c is the company code = 00001001001 = 0x049* *since the last bit of the jtag idcode is always one, the last three hex digits appear as 0x093 . xc2vp2 = x = 0x xc2vp4 = x = 0x xc2vp7 = x = 0x xc2vp20 = x = 0x xc2vp50 = x = 0x vvvv ffff fff a aaaa aaaa cccc cccc cccc xc2vpxx 0001 000 0 0001 1000 0000 1001 0011 v10 180 9 3 xc2vpxx v10 200 9 3 3322 2222222 211111111 110000000000 1098 7654321 098765432 109876543210 vvvv:fffffff:aaaaaaaaa:ccccccccccc1 bit positions(00 to 31)
ug012 (v1.0) january 31, 2002 www.xilinx.com 419 virtex-ii pro platform fpga handbook 1-800-255-7778 jtag/ boundary scan programming mode r id codes assigned to virtex-ii pro fpgas are shown in ta ble 3 -1 4 . usercode register usercode is supported in the virtex family as well. this register allows a user to specify a design-specific identification code. the usercode can be programmed into the device and read back for verification at a later time. the usercode is embedded into the bitstream during bitstream generation (bitgen -g userid option) and is valid only after configuration. user1, user2 registers the user1 and user2 registers are only valid after configuration. these two registers must be defined by the user within the design. these registers can be accessed after they are defined by the tap pins. the bscan_virtex2 library macro is required when creating these registers. this symbol is only required for driving internal scan chains (user1 and user2). the bscan_virtex2 macro provides two user pins (sel1 and sel2) for determining usage of user1 or user2 instructions respectively. for these instructions, two corresponding pins (tdo1 and tdo2) allow user scan data to be shifted out of tdo. in addition, there are individual clock pins (drck1 and drck2) for each user register. there is a common input pin (tdi) and shared output pins that represent the state of the tap controller (reset, shift, and update). unlike earlier fpga families that required the bscan macro to dedicate tap pins for boundary scan, virtex-ii pro tap pins are dedicated and do not require the bscan_virtex2 macro for normal boundary-scan instructions or operations. note that these are user-defined registers. the example ( figure 3-22 ) is one of many implementations. for hdl, the bscan_virtex2 macro needs to be instantiated in the design. table 3-14: virtex-ii pro device id codes fpga idcode xc2vp2 v1226093 xc2vp4 v123e093 xc2vp7 v124a093 xc2vp20 v1266093 xc2vp50 v 129e093 notes: 1. the ? v ? in the idcode is the revision code field.
420 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r using boundary scan in virtex-ii pro devices characterization data for some of the most commonly requested timing parameters shown in figure 3-23 is listed in ta ble 3-1 5 . figure 3-22: bscan_virtex 2 (example usage) ce ce ce r q d clockdr drck1 internal symbol logic drck2 sel1 sel2 bscan_virtex2 r q d reset r q d drck1 shift tdi cap0 cap1 cap2 r q d r q d sel1 tdo1 r q d 0 1 0 1 0 1 update update reset tdi sel1 drck1 sel2 drck2 shift tdo1 tdo2 capture figure 3-23: virtex-ii pro boundary scan port timing waveforms x139_05_020300 data to be captured data to be driven out tdo tck tdi tms data valid data valid t tcktdo t taptck t tcktap
ug012 (v1.0) january 31, 2002 www.xilinx.com 421 virtex-ii pro platform fpga handbook 1-800-255-7778 jtag/ boundary scan programming mode r for further information on the startup sequence, bitstream, and internal configuration registers referenced here, refer to readback , page 441 . configuring through boundary-scan one of the most common boundary-scan vendor-specific instructions is the configure instruction. an individual virtex-ii pro device is configured via jtag on power-up using tap. if the virtex-ii pro device is configured on power-up, it is advisable to tie the mode pins to the boundary-scan configuration mode settings; 101 (m2 = 1, m1 = 0, m0 = 1). configuration flow for virtex-ii pro device configuration with jtag is shown in figure 3-24 . the sections that follow describe how the virtex-ii pro device can be configured as a single device via boundary-scan or as part of a multiple-device scan chain. a configured device can be reconfigured by toggling the tap and entering a cfg_in instruction after pulsing the prog_b pin or issuing the shut-down sequence. (refer to power up , page 392 ). for additional details on power-up or the start-up sequence in virtex-ii pro devices, see device startup , page 394 . table 3-15: boundary-scan port timing specifications symbol parameter value units t tap tc k tms and tdi setup time before tck 4.0 ns, min t tcktap tms and tdi hold times after tck 2.0 ns, min t tcktdo tck falling edge to tdo output valid 11.0 ns, min f tck maximum tck clock frequency 33.0 mhz, max
422 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r for additional detailed information on using virtex devices in an embedded solution, see xilinx application note xapp058. figure 3-24: device configuration flow diagram sample mode pins jtag available keep clearing configuration memory no no yes yes yes yes no yes clear configuration memory once more power up v cci > 1.0 v crc correct? load cfg_in instruction load bitstream abort startup shutdown sequence reconfigure? load jstart instruction start-up sequence operational init_b = high? prog_b low? ug002_c4_37101300 load jshutdown instruction no
ug012 (v1.0) january 31, 2002 www.xilinx.com 423 virtex-ii pro platform fpga handbook 1-800-255-7778 jtag/ boundary scan programming mode r single device configuration configure a virtex-ii pro part as a single device via boundary-scan operations as follows. ensure that the bitstream is generated with the jtag clock option. bitgen -g startupclk:jtagclk designname.ncd also, when using jtag programmer software, verify that the most current version is being used. ta ble 3 -1 6 describes the tap controller commands required to configure a virtex-ii pro device. refer to figure 3-20 for tap controller states. these tap controller commands are issued automatically if configuring the part with the jtag programmer software. table 3-16: single device configuration sequence tap controller step description set & hold # of clocks tdi tms tck 1 on power-up, place a logic ? one ? on the tms and clock the tck five times. this ensures starting in the tlr (test-logic-reset) state. x1 5 2 move into the rti state. x 0 1 3 move into the select-ir state. x 1 2 4 enter the shift-ir state. x 0 2 5 start loading the cfg_in instruction. 00101 0 5 6 load the last bit of cfg_in instruction when exiting shift-ir. as defined in the ieee standard. 01 1 7 enter the select-dr state. x 1 2 8 enter the shift-dr state. x 0 2 9 shift in the virtex-ii pro bitstream. bit n (msb) is the first bit in the bitstream 1 . bit 1 ...bit n 0 (bits in bitstream) ? 1 10 shift in the last bit of the bitstream. bit 0 (lsb) shifts on the transition to exit1-dr. bit 0 11 11 enter update-dr state. x 1 1 12 enter the select-ir state. x 1 2 13 move to the shift-ir state. x 0 2 14 start loading the jstart instruction. the jstart instruction initializes the startup sequence. 01100 0 5 15 load the last bit of the jstart instruction. 0 1 1 16 move to rti and clock the startup sequence by applying a minimum of 12 clock cycles to the tck. x0 12 17 move to the tlr state. the device is now functional. x1 3 notes: 1. in the configuration register, data is shifted in from the right (tdi) to the left (tdo).
424 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r multiple device configuration it is possible to configure multiple virtex-ii pro devices in a chain. the devices in the jtag chain are configured one at a time. the multiple device configuration steps are described generally to be applied to any size chain. ensure the bitstream is generated with the jtag clock option. bitgen -g startupclk:jtagclk designname.ncd refer to the state diagram in figure 3-20 for the following tap controller steps. 1. on power-up, place a logic ? one ? on the tms and clock the tck five times. this ensures starting in the tlr (test-logic-reset) state. 2. load the cfg_in instruction into the target device (and bypass in all other devices).go through rti (run-test/idle). repeat steps 2 through 4 for each successive device. 3. load the jstart command into all devices. 4. go to rti and clock tck 12 times. all devices are active at this point. notes: 1. prog_b pin should be deasserted during jtag operation. figure 3-25: boundary scan chain of devices ug002_c3_026101300 virtex-ii fpga tdo tdi tms tck virtex-ii fpga prog_b tdi tms tck prog_b tdo virtex-ii fpga tdi tms tck prog_b tdo device 0 device 1 device 2 tdo tms tdi tck
ug012 (v1.0) january 31, 2002 www.xilinx.com 425 virtex-ii pro platform fpga handbook 1-800-255-7778 jtag/ boundary scan programming mode r reconfiguring through boundary scan the ability of virtex-ii pro devices to perform partial reconfiguration is the reason that the configuration memory is not cleared when reconfiguring the device. when reconfiguring a chain of devices, refer to step 3 in ta ble 3-1 6 . there are two methods to reconfigure virtex-ii pro devices without possible internal contention. the first method is to pulse the prog_b pin which resets the internal configuration memory. the alternate method is to perform a shutdown sequence, placing the device in a safe state. the following shutdown sequence includes using internal registers. (for details on internal registers, refer to readback , page 441 .) 1. load the cfg_in instruction. 2. in shift-dr state, load the synchronization word followed by the reset crc register (rcrc) command. 1111 1111 1111 1111 1111 1111 1111 1111-> dummy word 1010 1010 1001 1001 0101 0101 0110 0110-> synchronization word 0011 0000 0000 0000 1000 0000 0000 0001-> header: write to cmd register 0000 0000 0000 0000 0000 0000 0000 0111-> rcrc command 0000 0000 0000 0000 0000 0000 0000 0000-> flush pipe 0000 0000 0000 0000 0000 0000 0000 0000-> flush pipe 3. load jshutdown. 4. go to rti and clock tck at least 12 times to clock the shutdown sequence. 5. proceed to shift-ir state and load the cfg_in instruction again. 6. go to shift-dr state and load the configuration bits. make sure the configuration bits contain aghigh command, which asserts the global signal ghigh_b. this prevents contention while writing configuration data. 0011 0000 0000 0000 1000 0000 0000 0001-> header: write to cmd 0000 0000 0000 0000 0000 0000 0000 1000-> aghigh command asserts ghigh_b 7. when all configuration bits have been loaded, go to shift-ir state and load the jstart instruction. 8. go to rti and clock tck at least 12 times to clock the startup sequence. 9. go to tlr state to complete the reconfiguration process. debugging configuration to verify successful configuration, there are several options. some of the most helpful verification steps include using tap pins and the readback command. using the virtex-ii pro tap controller and status pins is discussed first. when using tap controller pins, tdo is driven only in the shift-dr and shift-ir state. if the output of the tdo can be changed via an external pull-up resistor, the tap is not in shift-ir or shift-dr. if the tap can be controlled precisely, use this to test the application. in jtag configuration, the status pin (done) functions the same as in the other configuration modes. the done pin can be monitored to determine if a bitstream has been completely loaded into the device. if done is low, the entire bitstream has not been sent or the start-up sequence is not finished. if done is high, the entire bitstream has been received correctly. the init_b pin functions similar to a normal init_b but does not indicate a configuration error in boundary-scan configuration. in addition to external pin monitoring, an internal test can be conducted. the second method includes the following steps to capture the internal device status register contents: 1. move the tap to tlr state.
426 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r 2. go to shift-ir state and load in the cfg_in instruction. 3. go to shift-dr state and shift in the following 64-bit pattern with the msb (left-most bit), shifted in first. 1111 1111 1111 1111 1111 1111 1111 1111-> dummy word 1010 1010 1001 1001 0101 0101 0110 0110-> synchronization word 0010 1000 0000 0000 1110 0000 0000 0010-> read status register 1) 0000 0000 0000 0000 0000 0000 0000 0000-> flush pipe 0000 0000 0000 0000 0000 0000 0000 0000-> flush pipe 0000 0000 0000 0000 0000 0000 0000 0000-> flush pipe notes: 1. since the jtag readback shift register is 64-bit long, two 32-bit words are needed to fill the shift register. 4. after shifting in the pattern, load the cfg_out instruction in the shift-ir state. 5. move to shift-dr state and clock tck 32 times while reading tdo. the data seen on tdo is the content of the status register. the last bit out is a one if a crc error occurred. if successful, it should read as follows. 0000 0000 0000 0000 0001 1mmm 1110 11101 1,2 ) notes: 1. mmm is the mode pins value. 2. assuming that the device is in normal operation mode. since the read status activity causes the crc_error status to be asserted, it is important to clear the crc_error status to ensure normal device operation. this can be done by writing the precalculated crc value to the crc register or writing an rcrc command. 6. go to shift-ir state and load the cfg_in instruction again. 7. move to shift-dr state and shift in the following bit pattern: 0011 0000 0000 0000 1000 0000 0000 0001-> header: write to cmd register 0000 0000 0000 0000 0000 0000 0000 0111-> rcrc command 0000 0000 0000 0000 0000 0000 0000 0000-> flush pipe 0000 0000 0000 0000 0000 0000 0000 0000-> flush pipe 8. put the tap in tlr state when finished. the device status register also gives the status of the done and init_b signals. for information on the status register, refer to figure 3-30 .
ug012 (v1.0) january 31, 2002 www.xilinx.com 427 virtex-ii pro platform fpga handbook 1-800-255-7778 jtag/ boundary scan programming mode r boundary-scan for virtex-ii pro devices using ieee standard 1532 isc modal states once the device is powered up, it goes to an unprogrammed state. the i/os are all either 3-stated or pulled up. when isc_enable is successfully executed, the isc_enabled signal is asserted, and the device moves to isc_accessed state. when the device moves to isc_accessed state from operational state, the shutdown sequence is executed. the i/os are all either 3-stated or pulled up. the startup sequence is executed when in the isc_accessed state. at the end of the startup sequence, isc_enabled is cleared and the device moves to isc_complete. the minimum clock cycle requirement is the number of clock cycles required to complete the startup sequence. at the completion of the minimum required clock cycles, isc_enabled is deasserted. whether the startup sequence is successful or not is determined by crc or configuration error status from the configuration processor. if the startup is completed, isc_done is asserted; otherwise, isc_done stays low. the i/os are either 3-stated or pulled up. when isc_done is set in isc_complete state, the device moves to the operational state. otherwise, if isc_done is clear, the device moves to an unprogrammed state. however, if the tap controller goes to tlr state while the device is in isc_accessed state and if isc_done is set, then the device moves to the operational state. however, the i/o is not active yet because the startup sequence has not been performed. the startup sequence has to be performed in the operational state to bring the i/o active. figure 3-26: isc modal states unprogrammed (0,0) power up isc_enable is executed tlr & isc_done is clear isc_accessed (1,x) operational (0,1) isc complete (0,x) any non-test inst. but isc_enable executed any non-test inst. but isc_enable executed any non-test inst.but isc_disable loaded and isc_done is set any non-test inst.but isc_disable loaded and isc_done is clear tlr and isc_done is set isc_enable executed (isc_enabled, isc_done) isc_done is clear isc_done is set any non-test inst. but isc_disable executed isc_disable loaded isc_disable executed ug002 01 082600
428 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r clocking startup and shutdown sequence (jtag version) there are three clock sources for startup and shutdown sequence, cclk, userclk, and jtagclk. clock selection is set by bitgen. the startup sequence is executed in isc_accessed state. when it is clocked by jtagclk, the startup sequence receives the jtagclk in tap run/test idle state while isc_disable is the current jtag instruction. the number of clock cycles in run/test idle state for successful completion of isc_disable is determined by the number of clock cycles needed to complete the startup sequence. when userclk or cclk is used to clock the startup sequence, the user should know how many jtagclk cycles should be spent in run/test idle to successfully complete the startup sequence. the shutdown sequence is executed when the device transitions from an operational to isc_accessed state. shutdown is done while executing the isc_enable instruction. when the shutdown sequence is clocked using jtagclk, the clock is supplied in the run/test idle state of the isc_enable instruction. the number of clock cycles in run/test idle is determined by the number of clock cycles needed to complete the shutdown sequence. when the shutdown sequence is clocked by cclk or userclk, the user is responsible for knowing how many jtagclk cycles in run/test idle are needed to complete the shutdown sequence. notes: 1. it has been decided that when configuring the device through jtag, the startup and shutdown clock should come from tck, regardless of the selection in bitgen. 2. in ieee 1532 configuration mode, startup and shutdown clock source is always tck.
ug012 (v1.0) january 31, 2002 www.xilinx.com 429 virtex-ii pro platform fpga handbook 1-800-255-7778 jtag/ boundary scan programming mode r configuration flows using jtag figure 3-27: ieee 1532 configuration flow prog_b a a load isc_program load 00000 load isc_enable pins sample mode init_b = high? keep clearing configuration memory 1 tck cycles rti load 64 bits of bitstream data end of data crc correct pull init_b low stop operational reconfigure load isc_disable 12 tck cycles rti minimum vcc > ? rti minimum 12 tck cycles power up no ye s ye s no ye s no no ye s no ye s no ye s ug002_c4_38_101300 clear configuration memory once more prog_b
430 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r software support and data files for virtex-ii pro devices, the xilinx tool set includes the jtag programmer software to program and get virtex-ii pro idcodes. for test vectors extest or intest, or to utilize other jtag features present in the devices, see www.xilinx.com under configuration solutions for third party boundary-scan software tools. figure 3-28: signal diagram for successful first time isc configuration idcode unprog. isc_accessed disable (3-stated) isc_complete operational active start-up isc_enable anything but isc_disable isc_disable bypass tap instr. isc_enabled isc_done end of startup modal state system output ug002_c3_028_113000 figure 3-29: signal diagram for successful isc partial and full reconfiguration idcode operational isc_accessed disabled isc_complete operational active start-up isc_enable anything but isc_disable isc_disable bypass tap instr. isc_enabled isc_done end of startup modal state system output ug002_c3_029_113000
ug012 (v1.0) january 31, 2002 www.xilinx.com 431 virtex-ii pro platform fpga handbook 1-800-255-7778 configuration with multilinx r important note: to perform any configuration operations through jtag, the bitgen option should be set for the jtag clock option. bitgen -g startupclk:jtagclk designname.ncd for readback operations, this option can be used. bitgen -w -l -m -g readback readback is not supported in the current version of jtag programmer software. jtag programmer jtag programmer software is a standard feature of the alliance series ? and foundation series ? software packages. jtag programmer is a part of web pack, which can be downloaded from the following site: http://support. xilinx .com/support/software.htm configuration with multilinx the multilinx cable set is a peripheral hardware product. it is used primarily for downloading configuration and programming data from a host computer to xilinx fpgas and cplds in a target system. the multilinx system supports a usb (universal serial bus) interface with communication speeds up to 12 mb/s, reducing download times by a factor of 120x relative to previous cables. the multilinx cable set includes all appropriate flying leads for multiple configuration mode support. in addition, multilinx cable sets support readback modes, such as verification and the virtex-ii pro selectmap interface. multilinx cable internal hardware is upgraded via software, facilitating the addition of new cable features and simplifying support. upgrades are completely seamless and invisible to users. for additional information on the multilinx cable set and other xilinx hardware products, refer to the hardware user guide on the web, or go to the following site: http://www.xilinx.com/support/programr/cables.htm configuration details this section provides a bit-level understanding of the configuration stream. for the purpose of debugging, designing embedded readback operations, or otherwise complex styles of configuring multiple fpgas, the virtex-ii bitstream, internal configuration logic, and internal processing of configuration data are described here. data frames the internal configuration memory is partitioned into segments called ? frames. ? the portions of the bitstream that actually get written to the configuration memory are ? data frames. ? the number and size of frames varies with device size as shown in ta ble 3-1 7 . the total number of configuration bits for a particular device is calculated by multiplying
432 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r the number of frames by the number of bits per frame, and then adding the total number of bits needed to perform the configuration register writes shown in ta ble 3-1 7 . configuration registers the virtex-ii pro configuration logic was designed so that an external source can have complete control over all configuration functions by accessing and loading addressed internal configuration registers over a common configuration bus. the internal configuration registers that are used for configuration and readback are listed in ta ble 3 -1 8 . all configuration data, except the synchronization word and dummy words, is written to internal configuration registers. table 3-17: virtex-ii pro configuration data frames and programming times device no. of frames frame length in bits configuration bits total no. of bits (including header) approx. selectmap download time (50 mhz) ms approx. serial download time (50 mhz) ms approx. jtag download time (33 mhz) ms xc2vp2 884 1,472 1,301,248 1,305,440 3.26 26.11 39.56 xc2vp4 884 3,392 2,998,528 3,006,560 7.52 60.13 91.11 xc2vp7 1,320 3,392 4,477,440 4,485,472 11.21 89.71 135.92 xc2vp20 1,756 4,672 8,204,032 8,214,624 20.54 164.29 248.93 xc2vp50 2,628 7,232 19,005,696 19,021,408 47.55 380.43 576.41 table 3-18: internal configuration registers symbol register name address crc crc register 00000 far frame address register 00001 fdri frame data input register (write configuration data) 00010 fdro frame data output register (readback configuration data) 00011 cmd command register 00100 ctl control register 00101 mask masking register for ctl 00110 stat status register 00111 lout legacy output register (dout for daisy chain) 01000 cor configuration option register 01001 mfwr multiple frame write 01010 flr frame length register 01011 idcode product id code register 01110
ug012 (v1.0) january 31, 2002 www.xilinx.com 433 virtex-ii pro platform fpga handbook 1-800-255-7778 configuration details r command register (cmd) commands shown in table 3-19 are executed by loading the binary code into the cmd register. frame length register (flr) the flr is used to indicate the frame size to the internal configuration logic. this allows the internal configuration logic to be identical for all virtex-ii pro devices. the value loaded into this register is the number of actual configuration words that get loaded into the configuration memory frames. configuration option register (cor) the cor is loaded with the user selected options from bitstream generation. see appendix a, ? bitgen and promgen switches and options . ? . table 3-19: cmd register commands symbol command binary code wcfg write configuration data 0001 mfwr multi-frame write 0010 dghigh de-asserts ghigh 0011 rcfg read configuration data 0100 start begin startup sequence 0101 rcap reset capture (after single-shot capture) 0110 rcrc reset crc register 0111 aghigh assert ghigh 1000 switch switch cclk frequency 1001 grestore pulse grestore signal 1010 shutdown begin shutdown sequence 1011 gcapture pulse gcapture signal (one shot) 1100 desynch forces realignment to 32 bits 1101 table 3-20: configuration option register name description bits crc_bypass does not check against updated crc value. 29 shut_rst_dci dci resets if shutdown and aghigh are performed. 27 shut_rst_dcm dcm resets if shutdown and aghigh are performed. 26 done_pipe add pipeline stage to donein. 25 drive_done done pin is an active driver, not open drain. 24 single readback capture is one shot. 23 oscfsel select cclk frequency in master serial mode. 22:17 ssclksrc select startup block clock source. 16:15 done_cycle startup cycle when done is asserted/de-asserted. 14:12 match_cycle stall in this startup cycle until dci match signals are asserted. 11:9
434 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r control register (ctl) the ctl controls internal functions such as security and port persistence . mask register (mask) the mask is a safety mechanism that controls which bits of the ctl register can be reloaded. prior to loading new data into the ctl register, each bit must be independently enabled by its corresponding bit in the mask register. any ctl bit not selected by the mask register is ignored when reloading the ctl register. frame address register (far) the far sets the starting frame address for the next configuration data input write cycle. frame data register input (fdri) the fdri is the input stage for configuration data frames to be stored in the configuration memory. starting with the frame address specified in the far, the fdri writes its contents to the configuration memory frames. the fdri automatically increments the frame address after writing each frame for the number of frames specified in the fdri write command. this is detailed in the next section. crc register (crc) the crc is loaded with a crc value that is embedded in the bitstream and compared against an internally calculated crc value. resetting the crc register and circuitry is controlled by the cmd register. frame data register output (fdro) fdro is an output stage for reading frame data from the configuration memory during readback. this works the same as the fdri but with data flowing in the other direction. legacy data output register (lout) lout is pipeline data to be sent out the dout pin for serially daisy-chained configuration data output. status register (stat) the stat register contains bits that indicate the state of the device. such bits include the status of error pins, global signals, the dcm, and dci. this register is read-only and can be read using the jtag or selectmap port for debugging purposes. lock_cycle stall in this startup cycle until dcm signals are asserted. 8:6 gts_cycle startup cycle when gts_cfg_b is de-asserted. 5:3 gwe_cycle startup cycle when gwe is asserted. 2:0 table 3-21: control register name description bits sbits security level. 4:5 persist configuration ports remain after configuration. 3 reserved for internal use. 2:1 gts_usr_b active low global 3-state i/os. turns off pullups if gts_cfg_b is also asserted. 0 table 3-20: configuration option register name description bits
ug012 (v1.0) january 31, 2002 www.xilinx.com 435 virtex-ii pro platform fpga handbook 1-800-255-7778 configuration details r figure 3-30: status register fields reserved reserved id_error done init_b mode ghigh_b gwe gts_cfg_b in_error dci_match dcm_lock reserved crc_error 313029282726252423222120191817161514131211109876543210 0000000000000000xxxxxx x x x x x xxxxx table 3-22: status register name description bit location id_error idcode not validated while trying to write fdri 13 done donein input form done pin 12 init_b value of cfg_rdy (init_b) 11 mode value or mode pins (m2, m1, m0) 10:8 ghigh_b status of ghigh 7 gwe status of gwe 6 gts_cfg_b status of gts_cfg_b 5 in_error legacy input error 4 dci_match dci matched 3 dcm_lock dcm matched 2 reserved for internal use 1 crc_error crc error 0
436 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r configuration data processing flow the complete (standard) reconfiguration of a virtex-ii device follows the internal flow shown in figure 3-31 . all associated configuration commands are listed in ta ble 3 -23 . figure 3-31: internal configuration processing flow initialize maximum of three cclk cycles. synchronize define 32-bit word boundaries. reset crc initialize crc calculation. set frame size internal use. set options set start-up and configrate. set control parameters internal use. set cclk frequency master serial cclk changes to optional configuration. write frames data frames written to configuration memory. invoke start-up start-up sequence commences after crc calculation. load crc if crc fails, start-up is aborted. otherwise, start-up sequence commences. finish fpga is active. x138_09_082599
ug012 (v1.0) january 31, 2002 www.xilinx.com 437 virtex-ii pro platform fpga handbook 1-800-255-7778 configuration details r the first command set prepares the internal configuration logic for the loading of the data frames. the internal configuration logic is first initialized with several cclk cycles represented by dummy words, then it is synchronized to recognize the 32-bit word boundaries by the synchronization word. the crc register and circuitry must then be reset by writing the rcrc command to the cmd register. the frame length size for the device being configured is then loaded into the flr register. the configuration options are loaded into the cor. the cclk frequency selected is specified in the cor; however, to switch to that frequency the switch command must be loaded into the cmd register. the id register is written to ensure that the correct bitstream is being used. now the data frames can be loaded. the second command set loads the configuration data frames. first, a wcfg (write configuration) command is loaded into the cmd register activating the circuitry that writes the data loaded into the fdri into the configuration memory cells. to load a set of data frames, the starting address for the first frame is first loaded to the far, followed by a write command, and then by the data frames to the fdri. the fdri write command also specifies the amount of data that is to follow in terms of the number of 32-bit words that comprise the data frames being written. when all but the last frame has been loaded, an initial crc checksum is loaded into the crc register. the de-assert ghigh (dghigh) is loaded into the cmd register. table 3-23: configuration register writes type number of 32-bit words command set 1 dummy words 1 synchronization word 1 write cmd (rcrc) 2 write flr 2 write cor 2 write id 2 write mask 2 write cmd (switch) 2 command set 2 write far 2 write cmd (wcfg) 2 write fdri part size dependent write cmd (dghigh) 2 command set 3 write cor 2 write cmd (start) 2 write ctl 2 write crc 2 write cmd (desynch) dummy words 4 total 40
438 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r the third command set initializes the start-up sequence and finishes crc checking. after all the data frames have been loaded, the start command is loaded into the cmd register, followed by any internal control data to ctl, the final crc value into the crc register, and the desynch command to the cmd register. the four dummy words at the end are flushed through the system to provide the finishing cclk cycles to activate the fpga. standard bitstream virtex-ii pro devices have the ability to be only partially re-configured or read back. the standard bitstream, currently generated by bitgen, follows the format shown in ta ble 3-2 4 , ta ble 3 -2 5 , and ta ble 3 -2 6 . this format assumes d0 is considered the msb . it is divided into three tables to follow the three command sets described in the previous subsection. ta ble 3 -2 4 shows the first set of commands in the bitstream that prepare the configuration logic for rewriting the memory frames. all commands are described as 32-bit words, since configuration data is internally processed from a common 32-bit bus. from table 3-24 , the first dummy word pads the front of the bitstream to provide the clock cycles necessary for initialization of the configuration logic. no actual processing takes place until the synchronization word is loaded. since the virtex-ii pro configuration logic processes data as 32-bit words, but can be configured from a serial or 8-bit source, the synchronization word is used to define the 32-bit word boundaries. that is, the first bit after the synchronization word is the first bit of the next 32-bit word, and so on. after synchronization, all data (register writes and frame data) are encapsulated in packets. there are two kinds of packets, header and data. a header packet has two types: type 1 and type 2. type 1 packet headers are used for register writes. a combination of type 1 and type packet headers are used for frame data writes. a type 1 packet header, shown in figure 3-32 , is always a single 32-bit word that describes the header type, whether it is a read/write function to a specific configuration register address (see table 3-18 ) as the destination, and how many 32-bit words are in the following packet data portion. a type 1 packet data portion can contain anywhere from 0 to 2,047 32-bit data words. table 3-24: bitstream header and configuration options data type dummy word synchronization word packet header: write to cmd register packet data: rcrc packet header: write to flr register packet data: frame length packet header: write to cor packet data: configuration options (user defined) packet header: write to id register packet data: idcode packet header: write to cmd register packet data: switch packet header: write to cmd register packet data: wcfg
ug012 (v1.0) january 31, 2002 www.xilinx.com 439 virtex-ii pro platform fpga handbook 1-800-255-7778 configuration details r figure 3-32: type 1 packet header figure 3-33: type 2 packet header the first packet header in table 3-24 is a type 1 packet header that specifies writing one data word to the cmd register. the following packet data is a data word specifying a reset of the crc register (compare the data field of ta ble 3 -2 4 to the binary codes of ta ble 3-1 9 ). the second packet header in ta ble 3 -2 4 loads the frame size into the flr. the third packet header loads the configuration options into the cor register. the binary description of this register is not documented. following this is a similar write of the switch command to the cmd register which selects the cclk frequency specified in the cor. finally, the wcfg command is loaded into the cmd register so that the loading of frame data can commence. the fourth packet header writes to the id register. this ensures the correct bitstream for the correct virtex-ii pro family member. ta ble 3 -2 5 shows the packets that load all of the data frames, starting with a type 1 packet header to load the starting frame address, which is always 0h. the loading of data frames requires a combination of type 1 and type 2 packet headers. type 2 packet headers must always be preceded by a type 1 packet header. the type 2 packet data can be up to 67,108,863 data words in size. table 3-25: bitstream data frames and crc sequence data type packet header : write to far register packet data: starting frame address packet header: write to fdri packet header type 2: data words packet data: configuration data frames in 32-bit words. total number of words specified in type 2 packet header packet data: crc value packet header: write to cmd register packet data: grestore packet header: write to cmd register packet data: dghigh packet header: no op packet data: one frame of no op packet header bits[31:0] type 1 31:29 001 28:27 10 / 01 26:13 xxxxxxxxxxxxxx 12:11 xx 10:0 xxxxxxxxxxxx type byte address operation (write/read) register address (destination) word count (32-bit words) x138_10_082599 packet header bits[31:0] type 2 31:29 010 28:27 10 / 01 26:0 xxxxxxxxxxxxxxxxxxxxxxxxxx type operation (write/read) word count (32-bit words) x138_11_082599
440 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r the type 2 packet header, shown in figure 3-33 , differs slightly from a type 1 packet header in that there is no register address or byte address fields. to write a set of data frames to the configuration memory, after the starting frame address has been loaded into the far, a type 1 packet header issues a write command to the fdri, followed by a type 2 packet header specifying the number of data words to be loaded, and then followed by the actual frame data as type 2 packet data . writing data frames might require a type 1/type 2 packet header combination, or a type 1 only. this depends on the amount of data being written. ta ble 3 -2 6 shows the packets needed to issue the start-up operations and load the final crc check. the fpga does not go active until after the final crc is loaded. the number of clock cycles required to complete the start-up sequence depends on the bitgen options selected. completion of the configuration process requires 8 to 16 clock cycles after the desynch command. the desynch command forces realignment to 32-bit boundaries and, therefore, a synchronization word is needed. typically, done is released within the first seven cclk cycles after the final crc value is loaded, but the rest of the dummy data at the end of the stream should continue to be loaded. the fpga needs the additional clock cycles to finish internal processing, but this is not a concern when a free-running oscillator is used for cclk. in serial mode, this requires only 16 bits (two bytes), but in selectmap mode, this requires 16 bytes of dummy words at the end of the bitstream. since the intended configuration mode to be used is unknown by bitgen, four 32-bit dummy words (16 bytes) are always placed at the end of the bitstream. cyclic redundancy checking algorithm virtex-ii pro configuration uses a standard 16-bit crc checksum algorithm to verify bitstream integrity during configuration. the 16-bit crc polynomial is shown below. crc-16 = x 16 + x 15 + x 2 + 1 the algorithm is implemented by shifting the data stream into a 16-bit shift register, shown in figure 3-34 . register bit(0) receives an xor of the incoming data and the output of bit(15). bit(2) receives an xor of the input to bit(0) and the output of bit(1). bit(15) receives an xor of the input to bit(0) and the output of bit(14). table 3-26: bitstream final crc and start-up sequence data type packet header: write to cmd register packet data: start packet header: write to mask packet data: ctl mask packet header: write to ctl packet data: control commands packet header: write to crc packet data: crc value packet header: write to cmd packet data: desynch command dummy word dummy word dummy word dummy word
ug012 (v1.0) january 31, 2002 www.xilinx.com 441 virtex-ii pro platform fpga handbook 1-800-255-7778 readback r a crc reset resets all the crc registers to zero. as data is shifted into the crc circuitry, a crc calculation accumulates in the registers. when the crc value is loaded into the crc calculation register, the ending crc checksum is loaded into the crc register. the value loaded into the crc register should be zero; otherwise, the configuration failed crc check. not all of the configuration stream is loaded into the crc circuitry. only data that is written to one of the registers shown in ta ble 3-2 3 is included. for each 32-bit word that is written to one of the registers ( table 3-23 ), the address code for the register and the 32-bit data word is shifted lsb first into the crc calculation circuitry, see figure 3-34 . when multiple 32-bit words are written to the same register, the same address is loaded after each word. all other data in the configuration stream is ignored and does not affect the crc checksum. this description is a model that can be used to generate an identical crc value. the actual circuitry in the device is a slightly more complex parallel crc circuit that produces the same result. readback readback is the process of reading all the data in the internal configuration memory. this can be used to verify that the current configuration data is correct and to read the current state of all internal clb and iob registers as well as the current lut ram and block ram values. readback is only available through the selectmap and boundary scan interfaces. this discussion covers the use of the selectmap interface for performing readback. for information on using the boundary scan interface for readback see "readback when using boundary scan" on page 442 . readback verification and capture readback verification is used to verify the validity of the stored configuration data. this is most commonly used in space-based applications where exposure to radiation might alter the data stored in the configuration memory cells. readback capture is used to list the states of all the internal flip-flops. this can be used for hardware debugging and functional verification. when capture is initiated, the internal register states are loaded into unused spaces in the configuration memory which can be extracted after a readback of the configuration memory. while both verify and capture can be performed in one readback, each require slightly different preparation and post processing. figure 3-34: serial 16-bit crc circuitry x138_12_082300 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0 1 2 3 4 5 26 27 28 29 30 31 0 1 address 32-bit data word crc calculation register crc data input register crc register [31:0] data_in shift 2 3 16-bit crc 15:0 0000 0000 0000 0000 31:16 4
442 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r preparing for readback in design entry if only a readback verification is to be performed, there are no additional steps at the time of design entry. however, if readback capture is to be used, the virtex-ii pro library primitive capture_virtex2 must be instantiated in the user design as shown in figure 3-35 . the capture_virtex2 component is used in the fpga design to control when the logic states of all the registers are captured into configuration memory. the clk pin can be driven by any clock source that would synchronize capture to the changing logic states of the registers. the cap pin is an enable control. when cap is asserted, the register states are captured in memory on the next clk rising edge. capture can be performed in two ways: single-shot or continuous. in continuous capture, the cap line is held high until the desired capture event occurs causing cap to go low. see figure 3-35 . continuous capture does not require a readback operation to reset the capture block. in single-shot capture, the cap line is pulsed once, and subsequent pulses are ignored until a readback operation has been performed. captured data is read using the same process as a normal readback. enabling readback in the software since readback is performed through the selectmap interface after configuration, the configuration ports must continue to be active by setting the persistence switch in bitgen. additionally, a readback bit file, which contains the commands to execute a readback and a bitmap for data verification, can optionally be generated by setting the readback option in bitgen. an example of the bitgen command line is shown below. bitgen -w -l -m -g readback -g persist:yes... the -w option overwrites existing output. the -l option generates a logic allocation file. the -m option generates a mask file. the -g readback option generates a readback bit file, and the - g persist:yes option keeps the selectmap interface active after configuration. for more information on bitgen options, see appendix a, ? bitgen and promgen switches and options . ? readback when using boundary scan regular readback flow it is highly recommended to perform shutdown before reading back bitstream to ensure normal operation. the shutdown sequence can be executed by loading the jshutdown instruction and spending at least 12 tck cycles in rti tap controller state. crc_error status and configuration error (cfgerr) must be cleared after readback by issuing reset crc bitstream command or writing the correct crc value to crc register. figure 3-35: readback capture_virtex2 library primitive capture_virtex2 trigger with external or internal signal. synchronize to external or internal clock. cap clk ug002_c4_35_091900
ug012 (v1.0) january 31, 2002 www.xilinx.com 443 virtex-ii pro platform fpga handbook 1-800-255-7778 readback r ieee 1532 readback flow in ieee 1532 readback mode, full chip shutdown is performed when isc_enable is executed. at the end of readback, crc error status must be cleared by issuing reset crc command or writing the correct crc value to crc register. isc_disable cannot be executed correctly unless the crc error status is cleared. figure 3-36: regular readback flow ug002_c4_36_091900 start load cfg_in load jshutdwn load cfg_in load cfg_out rti minimum 12 tck cycle load readback bitstream command shift out readback data load reset crc bitstream command load jstart rti minimum 12 tck cycle go to test-logic-reset stop
444 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r figure 3-37: ieee 1532 readback flow start load isc_enable load 00000? load isc_program load 64 bits of bitstream data rti 1 tck cycles a a rti 1 tck cycles load isc_program go to test-logic-reset load isc_disable command load reset crc load isc_read bitstream end of rti minimum 12 tck cycles stop ye s ye s no no end of data shift 69 bits of readback data + status rti minimum 12 tck cycles ug002_c4_39_092100
ug012 (v1.0) january 31, 2002 www.xilinx.com 445 virtex-ii pro platform fpga handbook 1-800-255-7778 readback r using chipscope pro the chipscope pro on-chip verification tool is sold separately through an authorized xilinx distributor or over the xilinx web site. this program uses a combination of pc software and instantiated soft cores to capture states of internal signals. communication is accomplished via the jtag user1 or user2 scan chain using a xilinx communication cable. the initial release of chipscope pro supports only virtex-ii and virtex-ii pro devices and allows for internal debug of any internal user logic. chipscope pro also allows direct, on-chip debug of the coreconnect bus in virtex-ii pro devices. chipscope pro supports a high speed usb interface between a host computer and the virtex-ii pro device using the xilinx multilinx cable on windows 98/2000 platforms and the rs232 connection using the xilinx jtag cable on windows 98/2000/nt platforms. solaris 2.7 and 2.8 support is available for core generation and core insertion only. more details are available on the www.xilinx.com/chipscope web site.
446 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 3: configuration r
ug012 (v1.0) january 31, 2002 www.xilinx.com 447 virtex-ii pro platform fpga handbook 1-800-255-7778 r chapter 4 pcb design considerations summary this chapter covers the following topics:  pinout information  pinout diagrams  package specifications  flip-chip packages  thermal data  printed circuit board considerations  board routability guidelines  xpower  ibis models  bsdl and boundary scan models pinout information introduction this section describes the pinouts for virtex-ii pro devices in the following packages:  fg256 and fg456: wire-bond fine-pitch bga of 1.00 mm pitch  ff672, ff896, ff1152, and ff1517: flip-chip fine-pitch bga of 1.00 mm pitch  bf957: flip-chip bga of 1.27 mm pitch all of the devices supported in a particular package are pinout compatible and are listed in the same table (one table per package). pins that are not available for the smaller devices are listed in the "no connects" column. each device is split into eight i/o banks to allow for flexibility in the choice of i/o standards (see the virtex-ii pro data sheet ). global pins, including jtag, configuration, and power/ground pins, are listed at the end of each table. ta ble 4-2 provides definitions for all pin types. the fg256 pinout ( ta ble 4 -3 , pag e 4 5 1 ) is included as an example. all virtex-ii pro pinout tables are available on the distribution cd-rom, or on the web (at http://www.xilinx.com ). ta ble 4 -1 shows the number of 3.3v selecti/os in each bank and the total for each device/package combination.
448 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r pin definitions ta ble 4 -2 provides a description of each pin type listed in virtex-ii pro pinout tables. table 4-1: 3.3v selecti/o banks virtex-ii pro device package bank0 bank1 bank2 bank3 bank4 bank5 bank6 bank7 total 3.3v i/o 2vp2 fg256 17 18 18 53 fg456 21 18 18 57 ff672 272424 75 2vp4 fg256 17 17 17 51 fg456 21 40 42 103 ff672 276060 147 2vp7 fg456 21 40 42 103 ff672 396060 159 ff896 396060 159 2vp20 bf957 57 57 114 ff896 55 84 139 ff1152 57 57 114 2vp50 bf957 59 59 118 ff1152 69 69 138 ff1517 81 81 162 table 4-2: virtex-ii pro pin definitions pin name direction description user i/o pins io_lxxy_# input/output all user i/o pins are capable of differential signalling and can implement lvds, ulvds, blvds, or ldt pairs. each user i/o is labeled ? io_lxxy_# ? , where: io indicates a user i/o pin. lxxy indicates a differential pair, with xx a unique pair in the bank and y = p/n for the positive and negative sides of the differential pair. # indicates the bank number (0 through 7) dual-function pins io_lxxy_#/zzz the dual-function pins are labelled ? io_lxxy_#/zzz ? , where zzz can be one of the following pins: per bank - vrp, vrn, or vref globally - gclkx(s/p), busy/dout, init_b, din/d0 ? d7, rdwr_b, or cs_b
ug012 (v1.0) january 31, 2002 www.xilinx.com 449 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout information r with /zzz: din / d0, d1, d2, d3, d4, d5, d6, d7 input/output in selectmap mode, d0 through d7 are configuration data pins. these pins become user i/os after configuration, unless the selectmap port is retained. in bit-serial modes, din (d0) is the single-data input. this pin becomes a user i/o after configuration. cs_b input in selectmap mode, this is the active-low chip select signal. the pin becomes a user i/o after configuration, unless the selectmap port is retained. rdwr_b input in selectmap mode, this is the active-low write enable signal. the pin becomes a user i/o after configuration, unless the selectmap port is retained. busy/dout output in selectmap mode, busy controls the rate at which configuration data is loaded. the pin becomes a user i/o after configuration, unless the selectmap port is retained. in bit-serial modes, dout provides preamble and configuration data to downstream devices in a daisy-chain. the pin becomes a user i/o after configuration. init_b bidirectional (open-drain) when low, this pin indicates that the configuration memory is being cleared. when held low, the start of configuration is delayed. during configuration, a low on this output indicates that a configuration data error has occurred. the pin becomes a user i/o after configuration. gclkx (s/p) input these are clock input pins that connect to global clock buffers. these pins become regular user i/os when not needed for clocks. vrp input this pin is for the dci voltage reference resistor of p transistor (per bank, to be pulled low with reference resistor). vrn input this pin is for the dci voltage reference resistor of n transistor (per bank, to be pulled low with reference resistor). alt_vrp input this is the alternative pin for the dci voltage reference resistor of p transistor. alt_vrn input this is the alternative pin for the dci voltage reference resistor of n transistor. v ref input these are input threshold voltage pins. they become user i/os when an external threshold voltage is not needed (per bank). dedicated pins (1) cclk input/output configuration clock. output in master mode or input in slave mode. prog_b input active low asynchronous reset to configuration logic. this pin has a permanent weak pull-up resistor. done input/output done is a bidirectional signal with an optional internal pull-up resistor. as an output, this pin indicates completion of the configuration process. as an input, a low level on done can be configured to delay the start- up sequence. table 4-2: virtex-ii pro pin definitions (continued) pin name direction description
450 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r m2, m1, m0 input configuration mode selection. hswap_en input enable i/o pullups during configuration. tck input boundary scan clock. tdi input boundary scan data input. tdo output boundary scan data output. tms input boundary scan mode select. pwrdwn_b input power down pin. other pins dxn, dxp n/a temperature-sensing diode pins (anode: dxp, cathode: dxn). v batt input decryptor key memory backup supply. (do not connect if battery is not used.) rsvd n/a reserved pin - do not connect. v cco input power-supply pins for the output drivers (per bank). v ccaux input power-supply pins for auxiliary circuits. v ccint input power-supply pins for the internal core logic. gnd input ground. avccauxrx# input analog power supply for receive circuitry of the multi gigabit transceiver (2.5v). avccauxtx# input analog power supply for transmit circuitry of the multi gigabit transceiver (2.5v). vtrxpad# input receive termination supply for the multi gigabit transceiver (1.8v to 2.8v). vttxpad# input transmit termination supply for the multi gigabit transceiver (1.8v to 2.8v). gnda# input ground for the analog circuitry of the multi gigabit transceiver. rxppad# output positive differential receive port of the multi gigabit transceiver. rxnpad# output negative differential receive port of the multi gigabit transceiver. txppad# input positive differential transmit port of the multi gigabit transceiver. txnpad# input negitive differential transmit port of the multi gigabit transceiver. notes: 1. all dedicated pins (jtag and configuration) are powered by v ccaux (independent of the bank v cco voltage). table 4-2: virtex-ii pro pin definitions (continued) pin name direction description
ug012 (v1.0) january 31, 2002 www.xilinx.com 451 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout information r fg256 fine-pitch bga package as shown in table 4-3 , xc2vp2 and xc2vp4 virtex-ii pro devices are available in the fg256 fine-pitch bga package. the pins in each of these devices are identical. the fg256 pinout information ( ta ble 4 -3 ) is included as an example. all virtex-ii pro pinout tables are available on the distribution cd-rom, or on the web (at www.xilinx.com ). table 4-3: fg256 ? xc2vp2 and xc2vp4 bank pin description pin number 0 io_l01n_0/vrp_0 c2 0 io_l01p_0/vrn_0 c3 0 io_l02n_0 b3 0 io_l02p_0 c4 0 io_l03n_0 a2 0 io_l03p_0/vref_0 a3 0 io_l06n_0 d5 0 io_l06p_0 c5 0 io_l07p_0 d6 0 io_l09n_0 e6 0 io_l09p_0/vref_0 e7 0 io_l69n_0 d7 0 io_l69p_0/vref_0 c7 0 io_l74n_0/gclk7p d8 0 io_l74p_0/gclk6s c8 0 io_l75n_0/gclk5p b8 0 io_l75p_0/gclk4s a8 1 io_l75n_1/gclk3p a9 1 io_l75p_1/gclk2s b9 1 io_l74n_1/gclk1p c9 1 io_l74p_1/gclk0s d9 1 io_l69n_1/vref_1 c10 1 io_l69p_1 d10 1 io_l09n_1/vref_1 e10 1 io_l09p_1 e11 1 io_l07n_1 d11 1 io_l06n_1 c12 1 io_l06p_1 d12 1 io_l03n_1/vref_1 a14
452 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r 1 io_l03p_1 a15 1 io_l02n_1 c13 1 io_l02p_1 b14 1 io_l01n_1/vrp_1 c14 1 io_l01p_1/vrn_1 c15 2 io_l01n_2/vrp_2 e14 2 io_l01p_2/vrn_2 e15 2 io_l02n_2 e13 2 io_l02p_2 f12 2 io_l03n_2 f13 2 io_l03p_2 f14 2 io_l04n_2/vref_2 f15 2 io_l04p_2 f16 2 io_l06n_2 g13 2 io_l06p_2 g14 2 io_l85n_2 g15 2 io_l85p_2 g16 2 io_l86n_2 g12 2 io_l86p_2 h13 2 io_l88n_2/vref_2 h14 2 io_l88p_2 h15 2 io_l90n_2 h16 2 io_l90p_2 j16 3 io_l90n_3 j15 3 io_l90p_3 j14 3 io_l89n_3 j13 3 io_l89p_3 k12 3 io_l87n_3/vref_3 k16 3 io_l87p_3 k15 3 io_l85n_3 k14 3 io_l85p_3 k13 3 io_l06n_3 l16 3 io_l06p_3 l15 table 4-3: fg256 ? xc2vp2 and xc2vp4 bank pin description pin number
ug012 (v1.0) january 31, 2002 www.xilinx.com 453 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout information r 3 io_l05n_3 l14 3 io_l05p_3 l13 3 io_l03n_3/vref_3 l12 3 io_l03p_3 m13 3 io_l02n_3 m16 3 io_l02p_3 n16 3 io_l01n_3/vrp_3 m15 3 io_l01p_3/vrn_3 m14 4 io_l01n_4/dout p15 4 io_l01p_4/init_b p14 4 io_l02n_4/d0 r14 4 io_l02p_4/d1 p13 4 io_l03n_4/d2 t15 4 io_l03p_4/d3 t14 4 io_l06n_4/vrp_4 n12 4 io_l06p_4/vrn_4 p12 4 io_l07p_4/vref_4 n11 4 io_l09n_4 m11 4 io_l09p_4/vref_4 m10 4 io_l69n_4 n10 4 io_l69p_4/vref_4 p10 4 io_l74n_4/gclk3s n9 4 io_l74p_4/gclk2p p9 4 io_l75n_4/gclk1s r9 4 io_l75p_4/gclk0p t9 5 io_l75n_5/gclk7s t8 5 io_l75p_5/gclk6p r8 5 io_l74n_5/gclk5s p8 5 io_l74p_5/gclk4p n8 5 io_l69n_5/vref_5 p7 5 io_l69p_5 n7 5 io_l09n_5/vref_5 m7 5 io_l09p_5 m6 table 4-3: fg256 ? xc2vp2 and xc2vp4 bank pin description pin number
454 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r 5 io_l07n_5/vref_5 n6 5 io_l06n_5/vrp_5 p5 5 io_l06p_5/vrn_5 n5 5 io_l03n_5/d4 t3 5 io_l03p_5/d5 t2 5 io_l02n_5/d6 p4 5 io_l02p_5/d7 r3 5 io_l01n_5/rdwr_b p3 5 io_l01p_5/cs_b p2 6 io_l01p_6/vrn_6 m3 6 io_l01n_6/vrp_6 m2 6 io_l02p_6 n1 6 io_l02n_6 m1 6 io_l03p_6 m4 6 io_l03n_6/vref_6 l5 6 io_l05p_6 l4 6 io_l05n_6 l3 6 io_l06p_6 l2 6 io_l06n_6 l1 6 io_l85p_6 k4 6 io_l85n_6 k3 6 io_l87p_6 k2 6 io_l87n_6/vref_6 k1 6 io_l89p_6 k5 6 io_l89n_6 j4 6 io_l90p_6 j3 6 io_l90n_6 j2 7 io_l90p_7 j1 7 io_l90n_7 h1 7 io_l88p_7 h2 7 io_l88n_7/vref_7 h3 7 io_l86p_7 h4 7 io_l86n_7 g5 table 4-3: fg256 ? xc2vp2 and xc2vp4 bank pin description pin number
ug012 (v1.0) january 31, 2002 www.xilinx.com 455 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout information r 7 io_l85p_7 g1 7 io_l85n_7 g2 7 io_l06p_7 g3 7 io_l06n_7 g4 7 io_l04p_7 f1 7 io_l04n_7/vref_7 f2 7 io_l03p_7 f3 7 io_l03n_7 f4 7 io_l02p_7 f5 7 io_l02n_7 e4 7 io_l01p_7/vrn_7 e2 7 io_l01n_7/vrp_7 e3 0 vcco_0 f8 0 vcco_0 f7 0 vcco_0 e8 1 vcco_1 f9 1 vcco_1 f10 1 vcco_1 e9 2 vcco_2 h12 2 vcco_2 h11 2 vcco_2 g11 3 vcco_3 k11 3 vcco_3 j12 3 vcco_3 j11 4 vcco_4 m9 4 vcco_4 l9 4 vcco_4 l10 5 vcco_5 m8 5 vcco_5 l8 5 vcco_5 l7 6 vcco_6 k6 6 vcco_6 j6 6 vcco_6 j5 7 vcco_7 h6 table 4-3: fg256 ? xc2vp2 and xc2vp4 bank pin description pin number
456 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r 7 vcco_7 h5 7 vcco_7 g6 n/a cclk n15 n/a prog_b d1 n/a done p16 n/a m0 n3 n/a m1 n2 n/a m2 p1 n/a tck d16 n/a tdi e1 n/a tdo e16 n/a tms c16 n/a pwrdwn_b n14 n/a hswap_en c1 n/a rsvd d14 n/a vbatt d15 n/a dxp d2 n/a dxn d3 n/a avccauxtx6 b5 n/a vttxpad6 b4 n/a txnpad6 a4 n/a txppad6 a5 n/a gnda6 c6 n/a gnda6 c6 n/a rxppad6 a6 n/a rxnpad6 a7 n/a vtrxpad6 b6 n/a avccauxrx6 b7 n/a avccauxtx7 b11 n/a vttxpad7 b10 n/a txnpad7 a10 n/a txppad7 a11 n/a gnda7 c11 n/a gnda7 c11 table 4-3: fg256 ? xc2vp2 and xc2vp4 bank pin description pin number
ug012 (v1.0) january 31, 2002 www.xilinx.com 457 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout information r n/a rxppad7 a12 n/a rxnpad7 a13 n/a vtrxpad7 b12 n/a avccauxrx7 b13 n/a avccauxrx18 r13 n/a vtrxpad18 r12 n/a rxnpad18 t13 n/a rxppad18 t12 n/a gnda18 p11 n/a gnda18 p11 n/a txppad18 t11 n/a txnpad18 t10 n/a vttxpad18 r10 n/a avccauxtx18 r11 n/a avccauxrx19 r7 n/a vtrxpad19 r6 n/a rxnpad19 t7 n/a rxppad19 t6 n/a gnda19 p6 n/a gnda19 p6 n/a txppad19 t5 n/a txnpad19 t4 n/a vttxpad19 r4 n/a avccauxtx19 r5 n/a vccint n4 n/a vccint n13 n/a vccint m5 n/a vccint m12 n/a vccint e5 n/a vccint e12 n/a vccint d4 n/a vccint d13 n/a vccaux r16 n/a vccaux r1 table 4-3: fg256 ? xc2vp2 and xc2vp4 bank pin description pin number
458 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r n/a vccaux b16 n/a vccaux b1 n/a gnd t16 n/a gnd t1 n/a gnd r2 n/a gnd r15 n/a gnd l6 n/a gnd l11 n/a gnd k9 n/a gnd k8 n/a gnd k7 n/a gnd k10 n/a gnd j9 n/a gnd j8 n/a gnd j7 n/a gnd j10 n/a gnd h9 n/a gnd h8 n/a gnd h7 n/a gnd h10 n/a gnd g9 n/a gnd g8 n/a gnd g7 n/a gnd g10 n/a gnd f6 n/a gnd f11 n/a gnd b2 n/a gnd b15 n/a gnd a16 n/a gnd a1 table 4-3: fg256 ? xc2vp2 and xc2vp4 bank pin description pin number
ug012 (v1.0) january 31, 2002 www.xilinx.com 459 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout diagrams r pinout diagrams this section contains pinout diagrams for the following virtex-ii pro packages:  fg256 fine-pitch bga composite pinout diagram , page 461 - fg256 bank information - fg256 dedicated pins  fg456 fine-pitch bga composite pinout diagram , page 465 - fg456 bank information - fg456 dedicated pins  ff672 flip-chip fine-pitch bga composite pinout diagram , page 469 - ff672 bank information - ff672 dedicated pins  ff896 flip-chip fine-pitch bga composite pinout diagram , page 473 - ff896 bank information - ff896 dedicated pins  ff1152 flip-chip fine-pitch bga composite pinout diagram , page 477 - ff1152 bank information - ff1152 dedicated pins  ff1517 flip-chip fine-pitch bga composite pinout diagram , page 481 - ff1517 bank information - ff1517 dedicated pins  bf957 flip-chip bga composite pinout diagram , page 485 - bf957 bank information - bf957 dedicated pins
460 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r
ug012 (v1.0) january 31, 2002 www.xilinx.com 461 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout diagrams r fg256 fine-pitch bga composite pinout diagram figure 4-1: fg256 fine-pitch bga composite pinout diagram 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 a b c d e f g h j k l m n p r t fg256 - top view c d 0 1 2 h k i o m w 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 a b c d e f g h j k l m n p r t r bank 0 (17 user i/os) bank 1 (17 user i/os) bank 2 (18 user i/os) bank 3 (18 user i/os) bank 4 (17 user i/os) bank 5 (17 user i/os) bank 6 (18 user i/os) bank 7 (18 user i/os) ug012_c4_108a_111901 r w o m i k h 0 d p 1 2 n a io_lxxy_# din/d0-d7 cs_b rdwr_b busy/dout init_b gclkx (p) gclkx (s) vrp vrn vref cclk prog_b done m2, m1, m0 hswap_en tck tdi tdo tms pwrdwn_b user i/o pins dedicated pins dxn dxp rsvd vcco vccint gnd vbatt no connect n vccaux dual-purpose pins: avccauxrx s avccauxtx t vtrxpad x vttxpad y gnda z rxppad rxnpad txppad txnpad other pins c p a n t y y y y x x x x s s s t t t s z z z z
462 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r fg256 bank information figure 4-2: fg256 bank information diagram 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 a b c d e f g h j k l m n p r t fg256 - top view 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 a b c d e f g h j k l m n p r t bank 0 (17 user i/os) bank 1 (17 user i/os) bank 2 (18 user i/os) bank 3 (18 user i/os) bank 4 (17 user i/os) bank 5 (17 user i/os) bank 6 (18 user i/os) bank 7 (18 user i/os) io_lxxy_# din/d0-d7 cs_b rdwr_b busy/dout init_b gclkx (p) gclkx (s) vrp vrn vref user i/o pins dedicated pins dual-purpose pins: other pins vcco ug012_c4_108b_112001
ug012 (v1.0) january 31, 2002 www.xilinx.com 463 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout diagrams r fg256 dedicated pins figure 4-3: fg256 dedicated pins diagram 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 a b c d e f g h j k l m n p r t fg256 - top view c d 0 1 2 h k i o m w 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 a b c d e f g h j k l m n p r t r bank 0 (17 user i/os) bank 1 (17 user i/os) bank 2 (18 user i/os) bank 3 (18 user i/os) bank 4 (17 user i/os) bank 5 (17 user i/os) bank 6 (18 user i/os) bank 7 (18 user i/os) ug012_c4_108c_011402 r w o m i k h 0 d p 1 2 n a cclk prog_b done m2, m1, m0 hswap_en tck tdi tdo tms pwrdwn_b user i/o pins dedicated pins dxn dxp rsvd vccint gnd vbatt no connect n vccaux avccauxrx s avccauxtx t vtrxpad x vttxpad y gnda z rxppad rxnpad txppad txnpad other pins c p a n t y y y y x x x x s s s t t t s z z z z
464 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r
ug012 (v1.0) january 31, 2002 www.xilinx.com 465 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout diagrams r fg456 fine-pitch bga composite pinout diagram figure 4-4: fg456 fine-pitch bga composite pinout diagram 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 a b c d e f g h j k l m n p r t u v w y aa ab fg456 - top view 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 a b c d e f g h j k l m n p r t u v w y aa ab 1 o i bank 0 (21 user i/os) bank 1 (21 user i/os) bank 2 (40 user i/os) bank 3 (42 user i/os) bank 4 (21 user i/os) bank 5 (21 user i/os) bank 6 (42 user i/os) bank 7 (40 user i/os) ug012_c4_109a_110801 r w o m i k h 0 d p c 1 2 n a io_lxxy_# din/d0-d7 cs_b rdwr_b busy/dout init_b gclkx (p) gclkx (s) vrp vrn vref cclk prog_b done m2, m1, m0 hswap_en tck tdi tdo tms pwrdwn_b user i/o pins dedicated pins dxn dxp rsvd vcco vccint gnd vbatt no connect n vccaux dual-purpose pins: avccauxrx s avccauxtx vtrxpad x vttxpad y gnda z rxppad rxnpad txppad txnpad other pins c p d 0 2 k m w h r a n t y x s x x x x s y s y y x s s s t s t y y y y t t t s x x t t t no pair z z z z z z z z
466 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r fg456 bank information figure 4-5: fg456 bank information diagram 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 a b c d e f g h j k l m n p r t u v w y aa ab fg456 - top view 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 a b c d e f g h j k l m n p r t u v w y aa ab bank 0 (21 user i/os) bank 1 (21 user i/os) bank 2 (40 user i/os) bank 3 (42 user i/os) bank 4 (21 user i/os) bank 5 (21 user i/os) bank 6 (42 user i/os) bank 7 (40 user i/os) ug012_c4_109b_111501 io_lxxy_# din/d0-d7 cs_b rdwr_b busy/dout init_b gclkx (p) gclkx (s) vrp vrn vref user i/o pins dedicated pins vcco dual-purpose pins: other pins c no pair
ug012 (v1.0) january 31, 2002 www.xilinx.com 467 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout diagrams r fg456 dedicated pins figure 4-6: fg456 dedicated pins diagram 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 a b c d e f g h j k l m n p r t u v w y aa ab fg456 - top view 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 a b c d e f g h j k l m n p r t u v w y aa ab 1 o i bank 0 (21 user i/os) bank 1 (21 user i/os) bank 2 (40 user i/os) bank 3 (42 user i/os) bank 4 (21 user i/os) bank 5 (21 user i/os) bank 6 (42 user i/os) bank 7 (40 user i/os) ug012_c4_109c_111501 r w o m i k h 0 d p c 1 2 n a cclk prog_b done m2, m1, m0 hswap_en tck tdi tdo tms pwrdwn_b user i/o pins dedicated pins dxn dxp rsvd vccint gnd vbatt no connect n vccaux avccauxrx s avccauxtx vtrxpad x vttxpad y gnda z rxppad rxnpad txppad txnpad other pins c p d 0 2 k m w h r a n t y x s x x x x s y s y y x s s s t s t y y y y t t t s x x t t t z z z z z z z z
468 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r
ug012 (v1.0) january 31, 2002 www.xilinx.com 469 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout diagrams r ff672 flip-chip fine-pitch bga composite pinout diagram figure 4-7: ff672 flip-chip fine-pitch bga composite pinout diagram ug012_c4_110a_110201 r w o m i k h 0 d p c 1 2 n a io_lxxy_# din/d0-d7 cs_b rdwr_b busy/dout init_b gclkx (p) no_pair gclkx (s) vrp vrn vref cclk prog_b done m2, m1, m0 hswap_en tck tdi tdo tms pwrdwn_b user i/o pins dedicated pins dxn dxp rsvd vcco vccint gnd vbatt no connect n n n n n n n n n n n n n n n n n n n n n vccaux dual-purpose pins: avccauxrx s avccauxtx t vtrxpad x vttxpad y gnda rxppad rxnpad txppad txnpad other pins z 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ff672 - top view 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af c d 0 1 2 h k i o m w r n a bank 1 (39 user i/os) bank 0 (39 user i/os) bank 7 (60 user i/os) bank 6 (60 user i/os) bank 5 (39 user i/os) bank 4 (39 user i/os) bank 3 (60 user i/os) bank 2 (60 user i/os) p t s x y t s x y t s x y t s x y t s x y t s x y t s x y t s x y z z z z z z z z
470 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r ff672 bank information figure 4-8: ff672 bank information diagram ug012_c4_110b_110501 io_lxxy_# din/d0-d7 cs_b rdwr_b busy/dout init_b gclkx (p) no_pair gclkx (s) vrp vrn vref user i/o pins dedicated pins vcco dual-purpose pins: other pins 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ff672 - top view 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af c bank 1 (39 user i/os) bank 0 (39 user i/os) bank 7 (60 user i/os) bank 6 (60 user i/os) bank 5 (39 user i/os) bank 4 (39 user i/os) bank 3 (60 user i/os) bank 2 (60 user i/os)
ug012 (v1.0) january 31, 2002 www.xilinx.com 471 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout diagrams r ff672 dedicated pins figure 4-9: ff672 dedicated pins diagram ug012_c4_110c_111501 r w o m i k h 0 d p c 1 2 n a cclk prog_b done m2, m1, m0 hswap_en tck tdi tdo tms pwrdwn_b user i/o pins dedicated pins dxn dxp rsvd vccint gnd vbatt no connect n n n n n n n n n n n n n n n n n n n n n vccaux avccauxrx s avccauxtx t vtrxpad x vttxpad y gnda rxppad rxnpad txppad txnpad other pins z 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ff672 - top view 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af c d 0 1 2 h k i o m w r n a bank 1 (39 user i/os) bank 0 (39 user i/os) bank 7 (60 user i/os) bank 6 (60 user i/os) bank 5 (39 user i/os) bank 4 (39 user i/os) bank 3 (60 user i/os) bank 2 (60 user i/os) p t s x y t s x y t s x y t s x y t s x y t s x y t s x y t s x y z z z z z z z z
472 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r
ug012 (v1.0) january 31, 2002 www.xilinx.com 473 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout diagrams r ff896 flip-chip fine-pitch bga composite pinout diagram figure 4-10: ff896 flip-chip fine-pitch bga composite pinout diagram 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak ff896 - top view r w m i k h 0 d p c 1 2 n a bank 1 (55 user i/os) bank 0 (55 user i/os) bank 7 (84 user i/os) bank 6 (84 user i/os) bank 5 (55 user i/os) bank 4 (55 user i/os) bank 3 (84 user i/os) bank 2 (84 user i/os) ug012_c4_111a_110701 r w o o m i k h 0 d p c 1 2 n a io_lxxy_# din/d0-d7 cs_b rdwr_b busy/dout init_b gclkx (p) gclkx (s) vrp vrn vref cclk prog_b done m2, m1, m0 hswap_en tck tdi tdo tms pwrdwn_b user i/o pins dedicated pins dxn dxp rsvd vcco vccint gnd vbatt no connect n vccaux dual-purpose pins: avccauxrx s avccauxtx t s s s s s s s s t t t t t t t t vtrxpad x x x x x x x x x vttxpad y y y y y y y y y gnda z z z z z z z z z rxppad rxnpad txppad txnpad other pins no_pair
474 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r ff896 bank information figure 4-11: ff896 bank information diagram 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak ff896 - top view bank 1 (55 user i/os) bank 0 (55 user i/os) bank 7 (84 user i/os) bank 6 (84 user i/os) bank 5 (55 user i/os) bank 4 (55 user i/os) bank 3 (84 user i/os) bank 2 (84 user i/os) ug012_c4_111b_011402 o io_lxxy_# din/d0-d7 cs_b rdwr_b busy/dout init_b gclkx (p) gclkx (s) vrp vrn vref user i/o pins dedicated pins vcco dual-purpose pins: other pins no_pair
ug012 (v1.0) january 31, 2002 www.xilinx.com 475 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout diagrams r ff896 dedicated pins figure 4-12: ff896 dedicated pins diagram 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak ff896 - top view r w m k h 0 d p c 1 2 n a bank 1 (55 user i/os) bank 0 (55 user i/os) bank 7 (84 user i/os) bank 6 (84 user i/os) bank 5 (55 user i/os) bank 4 (55 user i/os) bank 3 (84 user i/os) bank 2 (84 user i/os) ug012_c4_111c_111501 r w o o m i k h 0 d p c 1 2 n a cclk prog_b done m2, m1, m0 hswap_en tck tdi tdo tms pwrdwn_b user i/o pins dedicated pins dxn dxp rsvd vccint gnd vbatt no connect n vccaux avccauxrx s avccauxtx t s s s s s s s s t t t t t t t t vtrxpad x x x x x x x x x vttxpad y y y y y y y y y gnda z z z z z z z z z rxppad rxnpad txppad txnpad other pins
476 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r
ug012 (v1.0) january 31, 2002 www.xilinx.com 477 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout diagrams r ff1152 flip-chip fine-pitch bga composite pinout diagram figure 4-13: ff1152 flip-chip fine-pitch bga composite pinout diagram 1 2 3 4 5 6 7 8 9 10 a 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al am an ap a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al am an ap ff1152 - top view r bank 1 (69 user i/os) bank 0 (69 user i/os) bank 7 (104 user i/os) bank 6 (104 user i/os) bank 5 (69 user i/os) bank 4 (69 user i/os) bank 3 (104 user i/os) bank 2 (104 user i/os) ug012_c4_112a_110701 r w o m i k h 0 d p c 1 2 n a io_lxxy_# din/d0-d7 cs_b rdwr_b busy/dout init_b gclkx (p) gclkx (s) vrp vrn vref cclk prog_b done m2, m1, m0 hswap_en tck tdi tdo tms pwrdwn_b user i/o pins dedicated pins dxn dxp rsvd vcco vccint gnd vbatt no connect n vccaux no pair dual-purpose pins: avccauxrx s avccauxtx t vtrxpad x vttxpad y gnda z rxppad rxnpad txppad txnpad other pins 0 1 2 n c d w p k i o m h a t x s t y s t y y x s x x t y y s t s t y x x t s y x s t y x s s x y t t t t t s s s s s x x x x x y y y y t t t y y s s x x z z z z z z z z z z z z z z z z y
478 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r ff1152 bank information figure 4-14: ff1152 bank information diagram 1 2 3 4 5 6 7 8 9 10 a 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 1 2 3 46 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al am an ap a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al am an ap ff1152 - top view bank 1 (69 user i/os) bank 0 (69 user i/os) bank 7 (104 user i/os) bank 6 (104 user i/os) bank 5 (69 user i/os) bank 4 (69 user i/os) bank 3 (104 user i/os) bank 2 (104 user i/os) ug012_c4_112b_110701 io_lxxy_# din/d0-d7 cs_b rdwr_b busy/dout init_b gclkx (p) gclkx (s) vrp vrn vref user i/o pins dedicated pins vcco no pair dual-purpose pins: other pins
ug012 (v1.0) january 31, 2002 www.xilinx.com 479 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout diagrams r ff1152 dedicated pins figure 4-15: ff1152 dedicated pins diagram 1 2 3 4 5 6 7 8 9 10 a 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al am an ap a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al am an ap ff1152 - top view r bank 1 (69 user i/os) bank 0 (69 user i/os) bank 7 (104 user i/os) bank 6 (104 user i/os) bank 5 (69 user i/os) bank 4 (69 user i/os) bank 3 (104 user i/os) bank 2 (104 user i/os) ug012_c4_112c_011402 r w o m i k h 0 d p c 1 2 n a cclk prog_b done m2, m1, m0 hswap_en tck tdi tdo tms pwrdwn_b user i/o pins dedicated pins dxn dxp rsvd vccint gnd vbatt no connect n vccaux avccauxrx s avccauxtx t vtrxpad x vttxpad y gnda z rxppad rxnpad txppad txnpad other pins 0 1 2 n c d w p k i o m h a t x s t y s t y y x s x x t y y s t s t y x x t s y x s t y x s s x y t t t t t s s s s s x x x x x y y y y t t t y y s s x x z z z z z z z z z z z z z z z z y
480 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r
ug012 (v1.0) january 31, 2002 www.xilinx.com 481 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout diagrams r ff1517 flip-chip fine-pitch bga composite pinout diagram figure 4-16: ff1517 flip-chip fine-pitch bga composite pinout diagram ug012_c4_107a_011402 1 2 3 4 5 6 7 8 9 10 a 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al am an ap ar at au av aw a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al am an ap ar at au av aw ff1517 - top view c p d 0 1 2 h k i o m w a bank 1 (80 user i/os) bank 0 (80 user i/os) bank 7 (132 user i/os) bank 6 (132 user i/os) bank 5 (80 user i/os) bank 4 (80 user i/os) bank 3 (132 user i/os) bank 2 (132 user i/os) r w o m i k h 0 d p c 1 2 n a io_lxxy_# din/d0-d7 cs_b rdwr_b busy/dout init_b gclkx (p) gclkx (s) vrp vrn vref cclk prog_b done m2, m1, m0 hswap_en tck tdi tdo tms pwrdwn_b user i/o pins dedicated pins dxn dxp rsvd vcco vccint gnd vbatt no connect n vccaux dual-purpose pins: avccauxrx s avccauxtx t vtrxpad x vttxpad y gnda z rxppad rxnpad txppad txnpad other pins no pair r t t t t t t t t t t t t t t t s s s s s s s s s t s s s s s s s y y y y y y y y y y y y y y y y x x x x x x x x x x x z z z z z z z z z z z z z z z z x x x x n x n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n
482 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r ff1517 bank information figure 4-17: ff1517 bank information diagram ug012_c4_107b_011402 1 2 3 4 5 6 7 8 9 10 a 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al am an ap ar at au av aw a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al am an ap ar at au av aw ff1517 - top view bank 1 (80 user i/os) bank 0 (80 user i/os) bank 7 (132 user i/os) bank 6 (132 user i/os) bank 5 (80 user i/os) bank 4 (80 user i/os) bank 3 (132 user i/os) bank 2 (132 user i/os) io_lxxy_# din/d0-d7 cs_b rdwr_b busy/dout init_b gclkx (p) gclkx (s) vrp vrn vref user i/o pins dedicated pins vcco dual-purpose pins: other pins no pair
ug012 (v1.0) january 31, 2002 www.xilinx.com 483 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout diagrams r ff1517 dedicated pins figure 4-18: ff1517 dedicated pins diagram ug012_c4_107c_011402 1 2 3 4 5 6 7 8 9 10 a 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al am an ap ar at au av aw a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al am an ap ar at au av aw ff1517 - top view c p d 0 1 2 h k i o m w a bank 1 (80 user i/os) bank 0 (80 user i/os) bank 7 (132 user i/os) bank 6 (132 user i/os) bank 5 (80 user i/os) bank 4 (80 user i/os) bank 3 (132 user i/os) bank 2 (132 user i/os) r w o m i k h 0 d p c 1 2 n a cclk prog_b done m2, m1, m0 hswap_en tck tdi tdo tms pwrdwn_b user i/o pins dedicated pins dxn dxp rsvd vccint gnd vbatt no connect n vccaux avccauxrx s avccauxtx t vtrxpad x vttxpad y gnda z rxppad rxnpad txppad txnpad other pins r t t t t t t t t t t t t t t t s s s s s s s s s t s s s s s s s y y y y y y y y y y y y y y y y x x x x x x x x x x x z z z z z z z z z z z z z z z z x x x x n x n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n n
484 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r
ug012 (v1.0) january 31, 2002 www.xilinx.com 485 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout diagrams r bf957 flip-chip bga composite pinout diagram figure 4-19: bf957 flip-chip bga composite pinout diagram 1 2 3 4 5 6 7 8 9 10 a 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al bf957 - top view w o m k h 0 d c 1 2 n a bank 1 (59 user i/os) bank 0 (59 user i/os) bank 7 (86 user i/os) bank 6 (88 user i/os) bank 5 (59 user i/os) bank 4 (59 user i/os) bank 3 (88 user i/os) bank 2 (86 user i/os) ug012_c4_113a_110801 r w o m i k h 0 d p c 1 2 n a io_lxxy_# din/d0-d7 cs_b rdwr_b busy/dout init_b gclkx (p) gclkx (s) vrp vrn vref cclk prog_b done m2, m1, m0 hswap_en tck tdi tdo tms pwrdwn_b user i/o pins dedicated pins dxn dxp rsvd vcco vccint gnd vbatt no connect n vccaux dual-purpose pins: avccauxrx s avccauxtx t vtrxpad x vttxpad y gnda z rxppad rxnpad txppad txnpad other pins no pair p r t y y z z z x x x x x x x x x x x t t t t t t t t t t t y y y y y y y y y y s s s s s s s s s z z z z z z z z z s s s x i
486 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r bf957 bank information figure 4-20: bf957 bank information diagram 1 246810 a 12 14 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al bf957 - top view w d c 1 bank 1 (59 user i/os) bank 0 (59 user i/os) bank 7 (86 user i/os) bank 6 (88 user i/os) bank 5 (59 user i/os) bank 4 (59 user i/os) bank 3 (88 user i/os) bank 2 (86 user i/os) ug012_c4_113b_011402 io_lxxy_# din/d0-d7 cs_b rdwr_b busy/dout init_b gclkx (p) gclkx (s) vrp vrn vref user i/o pins dedicated pins vcco dual-purpose pins: other pins no pair
ug012 (v1.0) january 31, 2002 www.xilinx.com 487 virtex-ii pro platform fpga handbook 1-800-255-7778 pinout diagrams r bf957 dedicated pins figure 4-21: bf957 dedicated pins diagram 1 2 3 4 5 6 7 8 9 10 a 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al a b c d e f g h j k l m n p r t u v w y aa ab ac ad ae af ag ah aj ak al bf957 - top view w o m k h 0 d c 1 2 n a bank 1 (59 user i/os) bank 0 (59 user i/os) bank 7 (86 user i/os) bank 6 (88 user i/os) bank 5 (59 user i/os) bank 4 (59 user i/os) bank 3 (88 user i/os) bank 2 (86 user i/os) ug012_c4_113c_011402 r w o m i k h 0 d p c 1 2 n a cclk prog_b done m2, m1, m0 hswap_en tck tdi tdo tms pwrdwn_b user i/o pins dedicated pins dxn dxp rsvd vccint gnd vbatt no connect n vccaux avccauxrx s avccauxtx t vtrxpad x vttxpad y gnda z rxppad rxnpad txppad txnpad other pins p r t y y z z z x x x x x x x x x x x t t t t t t t t t t t y y y y y y y y y y s s s s s s s s s z z z z z z z z z s s s x i
488 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r
ug012 (v1.0) january 31, 2002 www.xilinx.com 489 virtex-ii pro platform fpga handbook 1-800-255-7778 package specifications r package specifications this section contains specifications for the following virtex-ii pro packages:  fg256 fine-pitch bga package (1.00 mm pitch) , page 490  fg456 fine-pitch bga package (1.00 mm pitch) , page 491  ff672 flip-chip fine-pitch bga package (1.00 mm pitch) , page 492  ff896 flip-chip fine-pitch bga package (1.00 mm pitch) , page 493  ff1152 flip-chip fine-pitch bga package (1.00 mm pitch) , page 494  ff1517 flip-chip fine-pitch bga package (1.00 mm pitch) , page 495  bf957 flip-chip bga package (1.27 mm pitch) , page 496
490 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r fg256 fine-pitch bga package (1.00 mm pitch) figure 4-22: fg256 fine-pitch bga package
ug012 (v1.0) january 31, 2002 www.xilinx.com 491 virtex-ii pro platform fpga handbook 1-800-255-7778 package specifications r fg456 fine-pitch bga package (1.00 mm pitch) figure 4-23: fg456 fine-pitch bga package
492 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r ff672 flip-chip fine-pitch bga package (1.00 mm pitch) figure 4-24: ff672 flip-chip fine-pitch bga package
ug012 (v1.0) january 31, 2002 www.xilinx.com 493 virtex-ii pro platform fpga handbook 1-800-255-7778 package specifications r ff896 flip-chip fine-pitch bga package (1.00 mm pitch) figure 4-25: ff896 flip-chip fine-pitch bga package
494 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r ff1152 flip-chip fine-pitch bga package (1.00 mm pitch) figure 4-26: ff1152 flip-chip fine-pitch bga package
ug012 (v1.0) january 31, 2002 www.xilinx.com 495 virtex-ii pro platform fpga handbook 1-800-255-7778 package specifications r ff1517 flip-chip fine-pitch bga package (1.00 mm pitch) figure 4-27: ff1517 flip-chip fine-pitch bga package
496 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r bf957 flip-chip bga package (1.27 mm pitch) figure 4-28: bf957 flip-chip bga package
ug012 (v1.0) january 31, 2002 www.xilinx.com 497 virtex-ii pro platform fpga handbook 1-800-255-7778 flip-chip packages r flip-chip packages as silicon devices become more integrated with smaller feature sizes as well as increased functionality and performance, packaging technology is also evolving to take advantage of these silicon advancements. flip-chip packaging is the latest packaging option introduced by xilinx to meet the demand for high i/o count and high performance required by today ? s advanced applications. flip-chip packaging interconnect technology replaces peripheral bond pads of traditional wire-bond interconnect technology with area array interconnect at the die/substrate interface. the area array pads contain wettable metallization for solders (either eutectic or high- lead), where a controlled amount of solder is deposited either by plating or screen- printing. these parts are then reflowed to yield bumped dies with relatively uniform solder bumps spread over the surface of the device. unlike traditional packaging in which the die is attached to the substrate face up and the connection is made by using wire, the bumped die in a flip-chip package is flipped over and placed face down, with the conductive bumps connecting directly to the matching metal pads on the ceramic or organic laminate substrate. the solder material at molten stage is self-aligning and produces good joints even if the chip is placed offset on the substrate. flip-chip packages are assembled on high-density, multi-layer ceramic or organic laminate substrates. since flip-chip bump pads are in area array configuration, very fine lines and geometry on the substrates are required to be able to successfully route the signals from the die to the periphery of the substrates. multi-layer build-up structures offer this layout flexibility on flip-chip packages, and they provide improvements in power distribution and signal transmission characteristics. advantages of flip-chip technology flip-chip interconnections in combination with the advanced multi-layer laminated substrates provide superior performance over traditional wire-bond packaging. benefits include:  easy access to core power/ground and shorter interconnects, resulting in better electrical performance  better noise control since the inductance of flip-chip interconnect is lower  excellent thermal performance due to direct heatsinking to backside of the die  higher i/o density since bond pads are in area array format  smaller size thermal data thermal considerations the virtex-ii pro device is a feature-rich fpga product based on the high-performance virtex-ii architecture. the product incorporates numerous features such as multiple rocket i/o ? multi-gigabit transceivers (mgts), one or more embedded ibm powerpc processors, high-speed selecti/o ? technology supporting a variety of i/o standards, on- board digitally controlled impedance (dci) technology, and much more. in fully configured designs that engage all these features at high clock rates, power consumption can add up quickly. unlike the features of asics or even of microprocessors, the combination of virtex-ii pro features that will be utilized in an application are not known ahead of time. therefore, as in previous fpga devices, it remains challenging to predict the power requirements and resulting thermal management needs of a virtex-ii pro device in a given package. these
498 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r devices, therefore, do not come with a preset thermal solution. the end user ? s conditions will determine what solutions will be most appropriate. in consideration of the high heat- generating potential of the virtex-ii pro devices, package offerings are tailored to include medium and high power capable options that allow external thermal management to meet the application ? s requirements. ta ble 4 -4 shows thermal resistance parameters for virtex-ii pro packages. estimated power consumption capability is given, as well. these values were derived using some typical thermal management assumptions as stated in the table. virtex-ii pro packages can be grouped into three broad performance categories: low, medium, and high, based on their power handling capabilities. all of the packages can use external thermal enhancements, which can range from simple airflow to schemes that can include passive as well as active heatsinks. this is particularly true for high-performance flip-chip packages where system designers have the option to further enhance the packages to handle in excess of 20 watts, with arrangements that take system physical constraints into consideration. table 4-5 shows simple but incremental power management schemes that can be brought to bear on flip-chip packages. thermal management options the following are thermal management options to consider: table 4-4: thermal data for virtex-ii pro packages package lead pitch (mm) junction- to-ambient theta-ja range, in air ( c/watt) junction-to-case theta-jc range, cold plate ( c/watt) junction-to-board psi-jb ("theta-jb") typical ( c/watt) max power, bare pkg (watts) t a =50 c t jmax = 100 c power with heatsink (watts) theta-sa = 1.5 c/watt theta-cs = 0.1 c/watt t a =50 c, t j =100 c fg256, 2-4l pcb, 17x17 1.0 16 - 22 2.0 - 5.0 13 2.0 fg456, 4l pcb, 23x23 1.0 15 - 28 1.5 - 2.5 9 2.4 ff672, 4l pcb, 27x27 1.0 11 -16 1.0 - 1.5 5 3.7 16 bf957, 40x40 flip-chip 1.27 8 - 13 0.7 - 1.1 3 5.0 22 ff896, 31x31 flip-chip 1.0 9 - 14 0.8 - 1.1 4 4.5 21 ff1152, 35x35 flip-chip 1.0 8 - 13 0.8 - 1.1 4 4.5 21 ff1517, 40x40 flip-chip 1.0 8 - 12 0.7 - 1.1 3 5.0 22 ????: ja (theta-ja): thermal resistance, junction-to-ambient (xilinx-supplied) jc (theta-jc): thermal resistance, junction-to-case (use with ratio of heat through the top) jb (psi-jb, or "theta-jb"): thermal resistance in still air, junction-to-board sa (theta-sa): thermal resistance, heatsink (manufacturer-supplied) cs (theta-cs): thermal resistance, heatsink adhesive (manufacturer-supplied) table 4-5: virtex-ii pro flip-chip thermal management power technique description low end (1 - 6 watts) bare package with moderate air 8 - 12 c/watt bare package. package can be used with moderate airflow within a system. mid range (4 - 10 watts) passive heatsink with air 5 - 10 c/watt package is used with various forms of passive heatsinks and heat spreader techniques. high end (8 - 25 watts) active heatsink 2 - 3 c/watt or better package is used with active heatsinks, tec, and board- level heat spreader techniques
ug012 (v1.0) january 31, 2002 www.xilinx.com 499 virtex-ii pro platform fpga handbook 1-800-255-7778 printed circuit board considerations r  for moderate power dissipation (2 to 6 watts), the use of passive heatsinks and heatspreaders attached with thermally conductive double-sided tapes or retainers can offer quick thermal solutions.  the use of lightweight finned external passive heatsinks can be effective for dissipating up to 10 watts. the more efficient external heatsinks tend to be tall and heavy. to help protect component joints from bulky heatsink-induced stresses, the use of spring loaded pins or clips that transfer the mounting stress to a circuit board is advisable. the diagonals of some of these heatsinks can be designed with extensions to allow direct connections to the board.  flip-chip packages: all flip-chip packages are thermally enhanced bgas with die facing down. they are offered with exposed metal heatsink at the top. these high-end thermal packages lend themselves to the application of external heatsinks (passive or active) for further heat removal efficiency. again, precaution should be taken to prevent component damage when a bulky heatsink is attached.  active heatsinks can include a simple heatsink incorporating a mini fan or even a peltier thermoelectric cooler (tecs) with a fan to blow away any heat generated. any considerations to apply tec in heat management should require consultation with experts in using the device, since these devices can be reversed and cause damage to the components. also, condensation can be an issue.  outside the package itself, the board on which the package sits can have a significant impact on thermal performance. board designs can be implemented to take advantage of a board ? s ability to spread heat. the effect of the board is dependent on its size and how it conducts heat. board size, the level of copper traces on it, and the number of buried copper planes all lower the junction-to-ambient thermal resistance for packages mounted on the board. the junction-to-board thermal resistance for virtex-ii pro packages are given in ta ble 4 -4 . a standard jedec type board was used for obtaining the data. users need to be aware that a direct heat path to the board from a component also exposes the component to the effect of other heat sources - particularly if the board is not cooled effectively. an otherwise cooler component might be heated by other heat contributing components on the board. printed circuit board considerations layout considerations the pc board is no longer just a means to hold ics in place. at today ? s high clock rates and fast signal transitions, the pc board performs a vital function in feeding stable supply voltages to the ic and in maintaining signal integrity between devices. vcc and ground planes since cmos power consumption is dynamic, it is a non-trivial task to assure stable supply voltages at the device pins and to minimize ground differentials. a multi-layer pc board is a must, with four layers for the simplest circuits, 6 to 12 layers for typical boards. ground and v cc must each be distributed in complete layers with few holes. slots in these layers would cause an unacceptable inductive voltage drop, when the supply current changes at a rate of 1 a/ns, or even faster. besides an uninterrupted ground plane, virtex-ii pro devices require one plane for v ccint (1.5v) plus one plane for v ccaux (2.5v). v cco can be distributed on wide sections of split plane layers. note that signal traces on adjacent layers should not be routed across these plane splits. beyond low resistance and inductance, ground and v cc planes combined can also provide a small degree of v cc decoupling. the capacitance between two planes is ~180 pf/inch 2 or ~28 pf/cm 2 , assuming 5mil (0.125 mm) spacing with fr4 epoxy.
500 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r v cc decoupling fast changing i cc transitions must be supplied by local decoupling capacitors, placed very closely to the v cc device pins or balls. these capacitors must have sufficient capacitance to supply i cc for a few nanoseconds, and must have low intrinsic resistance and inductance. x7r or npo ceramic surface-mounted capacitors of 0.01 to 0.1 f, one per v cc device pin, are appropriate. 0.1 f can supply 1a for 2 ns with a 20 mv voltage droop. 1a  2 ns = 2 nanocoulomb = 100 nf  0.02v low impedance at >100 mhz is important. some capacitance variation with temperature is acceptable. a series of values of decoupling capacitors are needed in order to supply transient current to the device over all frequency ranges. the highest frequency capacitors should be in the range of 300 pf to 1 nf. the smallest capacitors are the first-line source for i cc , and they must be placed very close to the v cc pins. an inch of copper plane represents an inductance of several nanohenries, defeating the purpose of the decoupling capacitor. therefore, the highest frequency (smallest value) capacitors should be mounted within 0.4 inches (1 cm) of the v cc and ground pin pair that it is decoupling. on large full-array bga packages like the ff1152 abd ff1517, following the decoupling guidelines presents implementation challenges. note that the power and ground balls on these packages are grouped in banks. a subset of the initial line of high-frequency decoupling capacitors should be mounted directly opposite the component on the reverse side of the board and within the apparent boundary of the component. at least one and typically two 0.1 f chipcaps per bank should be used. the balance of the high-frequency bypass requirements can be implemented outside the periphery on these full-array packages. backing up this local decoupling is one tantalum capacitor of 10 f to 100 f, able to supply multiple amperes for about 100 ns. finally, each board needs a power-supply decoupling electrolytic capacitor of 1000 f to 10,000 f, able to supply even more current for a portion of the supply switching period. as described below, larger capacitors inevitably have higher series resistance and inductance, which is the reason for the above-mentioned hierarchy of supply decoupling. as a general rule, multiple capacitors in parallel always offer lower resistance and inductance than any single capacitor. bypass capacitors must have vias (at least two per pad) abutting the pads, or vias in the pads, to provide the lowest inductance connection. decoupling capacitors the ideal decoupling capacitor would present a short circuit to ground for all ac signals. a real capacitor combines a given amount of capacitance with unavoidable parasitics, a small series resistance, and inductance. at low frequencies, the composite impedance is capacitive, i.e., it decreases with increasing frequency. at high frequencies, it is inductive and increases with frequency, making the decoupling ineffective. in-between, there is the lc resonant frequency, where the capacitor looks like a small resistor. this is the range where it is most effective. different technologies provide different trade-offs between desirable features like small size and high capacitance, and undesirable features like series resistance and inductance. electrolytic and tantalum capacitors offer the largest capacitance in a given physical size, but also have the highest inductance. this makes them useful for decoupling low frequencies and storing large amounts of charge, but useless for high frequency decoupling. surface-mount ceramic capacitors, on the other hand, offer the lowest inductance and the best high-frequency performance, but offer only a small amount of capacitance, usually less than a microfarad. figure 4-29 shows the frequency-dependent impedance and resistance of a typical electrolytic capacitor of 1500 f, while figure 4-30 and figure 4-31 show the equivalent data for ceramic bypass capacitors of 33,000 pf and 3,300 pf, respectively. note that the resonant frequency for the small ceramic bypass capacitor at 100 mhz is 10,000 times
ug012 (v1.0) january 31, 2002 www.xilinx.com 501 virtex-ii pro platform fpga handbook 1-800-255-7778 printed circuit board considerations r higher than the resonance frequency of the large electrolytic capacitor at 10 khz. for more technical information on decoupling capacitors, see the manufacturers ? websites. figure 4-29: 1500 f electrolytic capacitor frequency response curve figure 4-30: 33000 pf x7r component frequency response curve impendance (ohms) 100 10 1 0.1 0.01 0.1 1 10 100 1000 0.01 ug002_c4_014_111400 frequency khz 10 1 0.1 10 frequency (mhz) 1000 impedence (ohms) ug002_c5_007_101100 100
502 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r transmission line reflections and terminations a pc board trace must be analyzed as a transmission line. its series resistance and parallel conductance can generally be ignored, but series inductance and parallel capacitance per unit length are important parameters. any signal transition (rising or falling edge) travels along the trace at a speed determined by the incremental inductance and capacitance. for an outer-layer trace (air on one side), the propagation delay is 140 ps/inch, or 55 ps/cm. for an inner-layer trace (fr4 with =4.5 on both sides), the propagation delay is 180 ps/inch, or 70 ps/cm. the voltage-to-current ratio at any point along the transmission line is called the characteristic impedance z 0 . a field solver, which is available in most signal integrity simulation software, may be used to determine the impedance of a given trace geometry. as a first order approximation, it is determined by w/d, the ratio of trace width w to the distance d above the ground or v cc plane. for an outer layer trace (microstrip), z 0 =50 ? when w = 2d (e.g., w = 12 mil, d = 6 mil), z 0 =75 ? when w = d (e.g., both 6 mil = 0.15 mm). for an inner layer trace between two ground or v cc planes (stripline), z 0 =50 ? when w = 0.6  d ( e.g., w = 5 mil, d = 8 mil ), z 0 =75 ? when w = 0.25  d ( impractical). most signal traces fall into the range of 40 to 80 ? . a slow transition treats a short narrow trace as a lumped capacitance of about 2 pf/inch (0.8 pf/cm). however, if the trace is so long or the signal transition so fast that the potential echo from the far end arrives after the end of the transition, then the trace must be analyzed as a transmission line. in this case, the driver sees the trace not as a lumped capacitance, but rather as a pure resistance of z 0 . the signal transition then travels along the trace at the speed mentioned above. at any trace-impedance discontinuity all or part of the signal is reflected back to the origin. if the far end is resistively terminated with r=z 0 , then there is no reflection. if, however, the end is open, or loaded with only a cmos input, then the transition doubles in amplitude, and this new wave travels back to the driver, where it may be reflected again, figure 4-31: 3300 pf x7r component frequency response curve 10 1 0.1 10 frequency (mhz) 1000 impedence (ohms) ug002_c5_011_101100 100
ug012 (v1.0) january 31, 2002 www.xilinx.com 503 virtex-ii pro platform fpga handbook 1-800-255-7778 printed circuit board considerations r resulting in ringing. such ringing has a serious impact on signal integrity, reduces noise margins, and can lead to malfunction, especially if an asynchronous signal or a clock signal crosses the input threshold voltage unpredictably. two alternate ways to avoid reflections and ensure signal integrity are parallel termination and series termination. parallel termination reflections from the far end of the transmission line are avoided if the far end is loaded with a resistor equal to z 0 . a popular variation uses two resistors, one to v cc , one to ground, as the thevenin equivalent of z 0 . this reduces the load current for one signal level, while increasing it for the other. parallel termination inherently has some dc power consumption. dci on-chip tremination may be used to realizze parallel termination schemes. see figure 4-32 . series termination while parallel termination eliminates reflections, series termination relies on the reflection from the far end to achieve a full-amplitude signal. for series termination, the driver impedance is adjusted to equal z 0 , thus driving a half-amplitude signal onto the transmission line. at the unterminated far end, the reflection creates a full-amplitude signal, which then travels back to the driver where it is absorbed, since the output impedance equals z 0 . see figure 4-33 . series termination dissipates no dc power, but the half-amplitude round-trip delay signal means that there must be no additional loads along the line. series termination is ideal (and only meaningful) for single-source-single-destination interconnects. dci on=chip termination may beused to realize series termination schemes throught he use of controlled impedance drivers. figure 4-32: parallel termination figure 4-33: series termination ug002_c4_043_111901 vcc z 0 r= 2 x z r= 2 x z z 0 vcc r = z 0 0 0 ug002_c4_44_111400 z 0 r = z 0
504 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r dci on-chip termination virtex-ii pro devices offer digitally controlled output impedance drivers and digitally- controlled input termination, thus eliminating the need for any external termination resistors. this feature is extremely valuable with high pin-count, high density packages. these pc board considerations apply to all modern systems with fast current and voltage transitions, irrespective of the actual clock frequency. the designer of relatively slow systems is more likely caught off-guard by the inherent speed of modern cmos ics, where di/dt is measured in a/ns, dv/dt is measured in v/ns, and input flip-flops can react to 1 ns pulses, that are invisible on mid-range oscilloscopes. powerful tools like hyperlynx and other signal integrity analysis tools can be used to analyze signal integrity on the pc board and can often be amortized by one eliminated board-respin. jtag configuration and test signals poor signal integrity and limitations of devices in a jtag scan chain can reduce the maximum jtag test clock (tck) rate and reliability of jtag-based configuration and test procedures. the jtag tck and test mode (tms) signals must be buffered, distributed, and routed with the same care as any clock signal especially for long jtag scan chains. the devices in a jtag scan chain should be ordered such that the connections from the tdo of one device to the tdi of the next device are minimized. when high-speed jtag-based configuration for the virtex-ii pro devices is required, devices with lower-specified maximum tck rates should be placed in a separate jtag scan chain. crosstalk crosstalk can happen when two signals are routed closely together. current through one of the traces creates a magnetic field that induces current on the neighboring trace, or the voltage on the trace couples capacitively to its neighbor. crosstalk can be accurately modeled with signal integrity software. two easy-to-remember rules of thumb are:  crosstalk falls off with the square of increasing distance between the traces.  crosstalk also falls off with the square of decreasing distance to a ground plane. where dv is the voltage swing d is the distance between traces (center to center) h is the spacing above the ground plane example: 3.3v swing, and two stripline traces 5 mils apart and 5 mils above the ground plane. peak crosstalk voltage = (3.3v)/(1 + (0.005/0.005) 2 ) = 1.65v this can cause a false transition on the neighboring trace. separating the trace by an additional 5 mils is significantly better: peak crosstalk voltage = (3.3v)/(1 + (0.01/0.005) 2 ) = 0.66v decoupling of v ref pins v ref pins must each have a bypass capacitor to insure that no noise is coupled onto the reference. signal traces must be kept at least three spaces from the reference trace on either side to prevent crosstalk coupling. signal routing to and from package pins signal escaping (traces leaving the pin/ball area) can be quite difficult for the large fg and flip-chip packages. the number of signal layers required to escape all the pins depends on peak crosstalk voltage dv 1dh ? () 2 + ------------------------------ =
ug012 (v1.0) january 31, 2002 www.xilinx.com 505 virtex-ii pro platform fpga handbook 1-800-255-7778 board routability guidelines r the pcb design rules. the thinner the traces, the more signals per layer can be routed, and the fewer layers are needed. the thinner traces have higher characteristic impedance, so choose an impedance plan that makes sense, and then be consistent. traces from 40 ? to 80 ? are common. if only one signal can be escaped between two pads, only two rows of pins can be escaped per layer. for fg packages (1.0mm pitch) one signal of width 5 mils (0.13mm) can be escaped between two pads, assuming a space constraint equal to the trace width. for a discussion of signal routing specific to virtex-ii pro devices, see www.xilinx.com for currently available application notes. as packages are able to handle more i/os with a minimum increase in size, the signal integrity of those signals must be considered, regardless of clock frequency. especially with the largest packages, precise pcb layer stackup is required. parameters such as board material, trace width, pad type, and stackup must be defined based on simulation, and the fabrication drawings must be marked with ? precise layer stackup ? and the stackup specified. a number of board-level signal integrity simulators exist. careful attention to pcb design rules creates a robust design with low emi and high signal reliability. board routability guidelines board-level bga routing challenges xilinx ball grid array ( bga) wire-bond and flip-chip packages contain a matrix of solder balls (see figure 4-34 ). these packages are made of multilayer bt substrates. signal balls are in a perimeter format. power and ground pins are grouped together appropriately. the number of layers required for effective routing of these packages is dictated by the layout of balls in each package. if several other technologies and components are already present on the board, the system cost is factored with every added board layer. the intent of a board designer is to optimize the number of layers required to route these packages, considering both cost and performance. this section provides guidelines for minimizing required board layers for routing bga products using standard pcb technologies (5 mil wide lines and spaces or 6 mil wide lines and spaces). for high performance and other system needs, designers can use premium technologies with finer lines/spaces on the board. the pin assignment and pin grouping scheme in bga packages enables efficient routing of the board with an optimum number of required board layers. figure 4-34: fine-pitch bga pin assignments power ground
506 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r board routing strategy the diameter of a land pad on the component side is provided by xilinx. this information is required prior to the start of board layout when designing the board pads to match component-side land geometry. typical values for these land pads are described in figure 4-35 and summarized in ta ble 4-6 . figure 4-35: suggested board layout of soldered pads for bga packages x157_02_120500 non-solder-mask-defined land patterns or land-defined land patterns are recommended for all packages. mask opening outside of land vl vh e d w m l table 4-6: summary of typical land pad values (mm) land pad characteristics fg256 fg456 ff672 ff896 ff1152 ff1517 bf957 component land pad diameter (smd) (4) 0.45 0.45 0.48 0.48 0.48 0.48 0.61 solder land (l) diameter 0.40 0.40 0.45 0.45 0.45 0.45 0.56 opening in solder mask (m) diameter 0.50 0.50 0.55 0.55 0.55 0.55 0.66 solder (ball) land pitch (e) 1.00 1.00 1.00 1.00 1.00 1.00 1.27 line width between via and land (w) 0.130 0.130 0.130 0.130 0.130 0.130 0.203 distance between via and land (d) 0.70 0.70 0.70 0.70 0.70 0.70 0.90 via land (vl) diameter 0.61 0.61 0.61 0.61 0.61 0.61 0.65 through hole (vh), diameter 0.300 0.300 0.300 0.300 0.300 0.300 0.356 pad array full full full full full full full matrix or external row 16 x 16 22 x 22 26 x 26 30 x 30 34 x 34 39 x 39 31 x 31 periphery rows - 7 (3) -- - - - notes: 1. dimensions in millimeters. 2. 3 x 3 matrix for illustration only, one land pad shown with via connection. 3. fg456 package has solder balls in the center in addition to the periphery rows of balls. 4. component land pad diameter refers to the pad opening on the component side (solder-mask defined).
ug012 (v1.0) january 31, 2002 www.xilinx.com 507 virtex-ii pro platform fpga handbook 1-800-255-7778 board routability guidelines r for xilinx bga packages, nsmd (non solder mask defined) pads on the board are suggested. this allows a clearance between the land metal (diameter l) and the solder mask opening (diameter m) as shown in figure 4-35 . the space between the nsmd pad and the solder mask, and the actual signal trace widths depends on the capability of the pcb vendor. the cost of the pcb is higher when the line width and spaces are smaller. selection of the pad types and pad sizes determines the available space between adjacent balls for signal escape. based on pcb capability, the number of lines that can share the available space is described in figure 4-36 . based on geometrical considerations, if one signal escapes between adjacent balls, then two signal rows can be routed on a single metal layer. this is illustrated in figure 4-36 , as routing with one line/channel, either at 6 mil lines and spaces or 5 mil lines and spaces. the blocked nature of multi-gigabit transceiver (mgt) at the top edge prevents a direct implementation of one line/channel arrangement in layer 1. using this suggested routing scheme, a minimum of eight pcb layers are required to route up to 10 signal rows in a package. these virtex-ii pro bga packages may incorporate up to 16 mgt channels per package. the balls that make up these channels are grouped within the two outer rows of top and bottom edges. this outer row arrangement allows easy escape and pairing of signals. accomodation of any filtering schemes off the chip can be accomplished in close proximity as well. a slightly lower trace width than that employed on the top and bottom external or exposed traces can be used by the inner signal rows routed in internal layers. depending on the signal being handled, the practice of "necking down" a trace in the critical space between the bga balls is allowable. changes in width over very short distances can cause small impedance changes. validate these issues with the board vendor and signal integrity engineers responsible for design. it is also suggested to implement the mgt signals in stripline arrangement. figure 4-36: ff672 pc board layout/land pattern pcb design rule (1.0 mm ball pitch) trace width/spacing (mils) i/o rows lines per channel pcb layer ball pad via pad 5/5 8 1 8 .018" .024" 1 line/channel 5 mils line / 5 mils spacing (standard technology) 1 line/channel 6 mils line / 6 mils spacing (standard technology) 9.3 mils >5 mils 1.0 mm 5 mils 1.0 mm 8.8 mils >5 mils 6 mils detail "a" 0.55 mm (0.022) 0.45 mm (0.018) 0.30 mm (0.012) 0.61 mm (0.024) mgt signal (top edge) (l4) mgt signal (l4) legend: i/o gnd pwr v ccint v int 27 x 27 mm, 1.0 mm fine pitch bga a b c d e f g h j k l m 123456789101112 pwr plane gnd plane signal (l1) signal (l3, l6, l8) ug012_c4_120_011002 detail "a"
508 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r figure 4-36 describes a board-level layout strategy for a xilinx 1.0 mm pitch ff672 package, which incorporates four mgt channels at the top edge. detail a in figure 4-36 describes the opening geometry for the land pad and the solder mask. routing with 5 mil lines/trace allows one signal per channel (between the balls). for successful routing, eight-row-deep signal traces require six to eight pcb layers. figure 4-37 shows the suggested schematic of layers for the eight-layer routing scheme. by using a premium board technology such as microvia technology ? allowing up to 4 mil lines and spaces ? efficient routing with a reduced number of board layers is made possible. a grouping scheme for power, ground, control, and i/o pins may also enable efficient routing. figure 4-38 and figure 4-39 show examples of suggested layer-by-layer board escape strategy routing used to implement mgt and lvds pairs for some of the virtex-ii pro packages, including flip-chip package ff672. complete suggested layer-by-layer board escape routing for each virtex-ii pro package can be found in the virtex-ii pro section of the www.xilinx.com website. these drawings assume a standard pcb technology of 5 mil wide lines and spaces. more details are contained in xapp157, which is available on the web at www.xilinx.com/xapp/xapp157.pdf , as is a full-color (pdf) version of this document. figure 4-37: eight-layer routing scheme l - 2 l - 3 l - 4 l - 5 l - 6 l - 7 l - 8 l - 1 power/gnd signal (s1) signal (s2) signal (s3 mgt) power/gnd signal (s4) power/gnd signal (s5) ug012_c4_119_010302
ug012 (v1.0) january 31, 2002 www.xilinx.com 509 virtex-ii pro platform fpga handbook 1-800-255-7778 board routability guidelines r figure 4-38: fg456 routing with lvds pairs ug012_c4_122_011002
510 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r figure 4-39: ff672 routing with lvds pairs ug012_c4_121_011002
ug012 (v1.0) january 31, 2002 www.xilinx.com 511 virtex-ii pro platform fpga handbook 1-800-255-7778 xpower r xpower xpower is the first graphic power-analysis software available for programmable logic design. earlier than ever in the design flow you can analyze total device power, power per net, routed, or partially routed or unrouted designs. you can also receive graphical or ascii-based reports, all driven from a comprehensive graphic interface, or use a command-line driven batch-mode execution. xpower supports virtex-ii pro and virtex-ii advanced fpga devices and the xpla3 family of cpld devices, offering the broadest device support available in programmable power estimation. xpower also supports the importing of simulation data from modelsim ? vcd files, greatly reducing the time spent setting up net activity, and increasing overall estimation accuracy. xpower uses device knowledge and design data to project device power and by-net power utilization. this is a significant advance from the static estimation pages most logic providers offer their customers, and it is a leap forward in providing logic designers with accurate power dissipation information. features include:  support for virtex-ii pro, virtex-ii, virtex-e, virtex, and spartan-ii fpgas in ise 4.1i  xpla3 device support through ise webpack 4.1i  vcd simulation file import for modelsim ?  windows 98 and nt, windows me and windows 2000, and solaris support  graphic and/or ascii report formats  menu-driven or batch-mode execution  device data read directly from xilinx layout files  save and recall setup data  recognize small voltage variations on vcc more details are available under the xpower link on the www.xilinx.com website. ibis models the need for higher system performance leads to faster output transitions. signals with fast transitions cannot be considered purely digital. it is therefore important to understand their analog behavior by signal integrity analysis. to simulate the signal integrity of printed circuit boards (pcb) accurately and solve design problems before the pcb is fabricated, models of the i/o characteristics are required. spice models were traditionally used for this purpose, however, a manufacturer ? s spice models contain proprietary circuit-level information. therefore, there was a need for a model type which does not give details of the circuit topology, or process parameters. one such standard is the i/o buffer information specification (ibis) format originally suggested by intel. in the early 1990 ? s, the ibis open forum was formed and the first ibis specification was written to promote tool independent i/o models for system signal integrity analysis. ibis is now the ansi/eia-656 and iec 62014-1 standard. ibis accurately describes the signal behavior of the interconnections without disclosing the actual technology and circuitry used to implement the i/o. the standard is basically a black-box approach to protect proprietary information.
512 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r using ibis models ibis models can be used by designers for system-level analysis of signal integrity issues, such as ringing, ground bounce, cross talk, and predicting rfi/emi. complete designs can be simulated and evaluated before going through the expensive and time consuming process of producing prototype pcbs. this type of pre-layout simulation can considerably reduce the development cost and time to market, while increasing the reliability of the i/o operation. ibis models consist of look-up tables that predict the i/v characteristics and dv/dt of integrated circuit inputs and outputs when combined with pcb traces, cables and passive components. the data is extracted for the typical case, minimum case (weak transistors, low vcc, hot temperatures) and maximum case (strong transistors, high vcc, cold temperatures). ibis models have a limitation in that they do not contain internal delays. ibis models contain package parasitic information for simulation of ground bounce. however, not all simulators are able to use this data to simulate ground bounce. simulation results may not agree with measurement results due to package, die, pcb trace and ground plane modeling inaccuracies. similarly, because simultaneous switching outputs (ssos) are also difficult to model, only a first approximation is provided to the designer. ibis generation ibis models are generated either from spice simulations or from actual device measurements. a spice netlist of the i/o buffer is required to produce v/i and dv/dt simulation curve data. the spice simulation data is then converted to an ibis format/syntax file. ibis models that are derived from measurement data do not have process corner information, unlike ibis models that are derived from spice simulation data. it is only practical to measure a few parts, and it is therefore impossible to represent the extremes of production by such a method. advantages of ibis using ibis models has a great advantage to the user in that simulation speed is significantly increased over spice, while accuracy is only slightly decreased. non- convergence, which can be a problem with spice models and simulators, is eliminated in ibis simulation. virtually all eda vendors presently support ibis models and ease of use of these ibis simulators is generally very good. ibis models for most devices are freely available over the internet, making it easy to simulate several different manufacturers devices on the same board. ibis file structure an ibis file contains two sections, the header and the model data for each component. one ibis file can describe several devices. the following is the contents list in a typical ibis file:  ibis version  file name  file revision  component  package r/l/c  pin name, model, r/l/c  model (i.e., 3-state)  temperature range (typical, minimum, and maximum)
ug012 (v1.0) january 31, 2002 www.xilinx.com 513 virtex-ii pro platform fpga handbook 1-800-255-7778 ibis models r  voltage range (typical, minimum, and maximum)  pull-up reference  pull-down reference  power clamp reference  ground clamp reference  i/v tables for: -pull-up -pull-down - power clamp -ground clamp  rise and fall dv/dt for minimum, typical, and maximum conditions (driving 50 ? )  package model (optional) < package_number >.pkg with rlc sections. ibis i/v and dv/dt curves a digital buffer can be measured in receive (3-state) mode and drive mode. ibis i/v curves are based on the data of both these modes. the transition between modes is achieved by phasing in/out the difference between the driver and the receiver models, while keeping the receiver model constantly in the circuit. the i/v curve range required by the ibis specification is ? v cc to (2xv cc ). this wide voltage range exists because the theoretical maximum overshoot due to a full reflection is twice the signal swing. the ground clamp i/v curve must be specified over the range ? v cc to v cc , and the power clamp i/v curve must be specified from v cc to (2xv cc ). the three supported conditions for the ibis buffer models are typical values (required), minimum values (optional), and maximum values (optional). for cmos buffers, the minimum condition is defined as high temperature and low supply voltage, and the maximum condition is defined as low temperature and high supply voltage. an ibis model of a digital buffer has four i/v curves:  the pull-down i/v curve contains the mode data for the driver driving low. the origin of the curve is at 0v for cmos buffers.  the pull-up i/v curve contains the mode data for the driver driving high. the origin of the curve is at the supply voltage (v cc or v dd ).  the ground clamp i/v curve contains receive (3-state) mode data, with the origin of the curve at 0v for cmos buffers.  the power clamp i/v curve contains receive (3-state) mode data, with the origin of the curve at the supply voltage (v cc or v dd ). for 3.3v buffers that are 5v tolerant, the power clamp is referenced to 5v while the pull-up is referenced to 3.3v. ramp and dv/dt curves the ramp keyword contains information on how fast the pull-up and pull-down transistors turn on/off. the dv/dt curves give the same information, while including the effects of die capacitance (c_comp). c_comp is the total die capacitance as seen at the die pad, excluding the package capacitance. dv/dt curves describe the transient characteristics of a buffer more accurately than ramps. a minimum of four dv/dt curves are required to describe a cmos buffer: pull-down on, pull-up off, pull-down off, and pull-up on. dv/dt curves incorporate the clock-to-out delay, and the length of the dv/dt curve corresponds to the clock speed at which the buffer is used. each dv/dt curve has t = 0, where the pulse crosses the input threshold.
514 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r xilinx ibis package parasitic modelling xilinx ibis modeling previously used a simple rcl model for the pin and bond wire parasitics. due to the fast rise and fall times of many of the supported i/o standards, it was deemed necessary to improve the package parasitic modeling. the latest ibis 3.2 specification has a complex parasitic package model, which incorporates a transmission line and lumped rcl model. unfortunately, ibis 3.2 is still not widely supported by simulators. for these reasons, the old lumped package parasitic parameters have been removed from the latest models, and the user should now manually add an external transmission line . a 65 ? ideal transmission line, with the delay set at 25 ps to 100 ps, is recommended. this works in conjunction with a revised lumped model (included inside the ibis model). for critical applications, both extremes (25 ps and 100 ps) should be checked. however, for most i/o applications this difference is very small. ibis simulations the circuit shown in figure 4-40 models a virtex-ii pro lvcmos_18f driver and receiver, connected by a 6-inch 50 ? circuit board trace. the rise and fall simulation results show that there is a large amount of overshoot and undershoot, which is actually limited by the iob clamp diodes. figure 4-40: unterminated example ug012_c4_114_111301
ug012 (v1.0) january 31, 2002 www.xilinx.com 515 virtex-ii pro platform fpga handbook 1-800-255-7778 ibis models r by adding a series termination resistor to the driver output, the overshoot and undershoot can be effectively controlled. the simulation results in figure 4-41 show that by adding a 25 ? termination there is minimal overshoot and undershoot. an alternative is to use a dci source impedance controlled driver. the results shown in figure 4-42 show the waveforms from an lvdci_18 driver, which has virtually no overshoot or undershoot. ibis simulators several different ibis simulators are available today, and each simulator provides different results. an overshoot or undershoot of 10% of the measured result is tolerable. differences between the model and measurements occur because not all parameters are modeled. simulators for ibis models are provided by the following vendors:  cadence  avanti corporation figure 4-41: series termination example ug012_c4_115_111901 figure 4-42: dci driver example ug012_c4_116_111901
516 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook chapter 4: pcb design considerations r  hyperlynx  mentor  microsim  intusoft  ve ri b e s t  viewlogic xilinx ibis advantages xilinx provides preliminary ibis files before working silicon has been verified (before tape out), as well as updated versions of ibis files after the ics are verified. preliminary ibis files are generated from spice models before working silicon has been verified. after the ic (device) is verified, appropriate changes are made to the existing ibis files. these ibis files are available at the following web site: http://www.xilinx.com/support/sw_ibis.htm ibis reference web site http://www.eia.org/eig/ibis/ibis.htm bsdl and boundary scan models boundary scan is a technique that is used to improve the testability of ics. with virtex-ii pro devices, registers are placed on i/os that are connected together as a long shift register. each register can be used to either save or force the state of the i/o. there are additional registers for accessing test modes. the most common application for boundary scan is testing for continuity of the ic to the board. some packages make visual inspection of solder joints impossible, e.g. bga. the large number of i/os available requires the use of such packages, and also increases the importance of testing. a large number of i/os also means a long scan chain. test software is available to support testing with boundary scan. the software requires a description of the boundary scan implementation of the ic. the ieee 1149.1 specification provides a language description for boundary scan description language (bsdl). boundary scan test software accepts bsdl descriptions. the ieee 1149.1 spec also defines a 4 to 5 pin interface known as the jtag interface. ieee 1532 is a capability extension of ieee 1149.1. bsdl files preliminary bsdl files are provided from the ic design process. final bsdl files have been verified by an external third party test and verification vendor. the following are virtex-ii pro bsdl file names. virtex-ii pro bsdl file names xc2vp2_fg256.bsd xc2vp4_ff672.bsd xc2vp20_ff1152.bsd xc2vp2_fg456.bsd xc2vp7_fg456.bsd xc2vp20_bf957.bsd xc2vp2_ff672.bsd xc2vp7_ff672.bsd xc2vp50_ff1152.bsd xc2vp4_fg256.bsd xc2vp7_ff896.bsd xc2vp50_ff1517.bsd xc2vp4_fg456.bsd xc2vp20_ff896.bsd xc2vp50_bf957.bsd
ug012 (v1.0) january 31, 2002 www.xilinx.com 517 virtex-ii pro platform fpga handbook 1-800-255-7778 r appendix a bitgen and promgen switches and options using bitgen bitgen produces a bitstream for xilinx device configuration. after the design has been completely routed, it is necessary to configure the device so that it can execute the desired function. the xilinx bitstream necessary to configure the device is generated with bitgen. bitgen takes a fully routed ncd (circuit description) file as its input and produces a configuration bitstream ? a binary file with a .bit extension. the bit file contains all of the configuration information from the ncd file defining the internal logic and interconnections of the fpga, plus device-specific information from other files associated with the target device. the binary data in the bit file can then be downloaded into the fpga memory cells, or it can be used to create a prom file (see figure a-1 ). figure a-1: bitgen x9227 drc bgn bitgen ll (optional) ncd circuit description (placed/routed) msk (optional) promgen bit rbt hardware debugger
518 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook appendix a: bitgen and promgen switches and options r bitgen syntax the following syntax creates a bitstream from your ncd file. bitgen [ options ] infile [ .ncd ] [ outfile ] [ pcf_file ] options is one or more of the options listed in bitgen options , page 519 . infile is the name of the ncd design for which you want to create the bitstream. you can specify only one design file, and it must be the first file specified on the command line. you do not have to use an extension. if you do not, .ncd is assumed. if you do use an extension, it must be .ncd . outfile is the name of the output file. if you do not specify an output file name, bitgen creates one in the same directory as the input file. if you specify -l on the command line, the extension is .ll (see -l command line option). if you specify -m (see -m command line option), the extension is .msk. if you specify -b, the extension is .rbt. otherwise the extension is .bit. if you do not specify an extension, bitgen appends one according to the aforementioned rules. if you do include an extension, it must also conform to the rules. pcf_file is the name of a physical constraints (pcf) file. bitgen uses this file to determine which nets in the design are critical for tiedown, which is not available for virtex families. bitgen automatically reads the .pcf file by default. if the physical constraints file is the second file specified on the command line, it must have a .pcf extension. if it is the third file specified, the extension is optional; .pcf is assumed. if a .pcf file name is specified, it must exist, otherwise the input design name with a .pcf extension is read if that file exists. a report file containing all bitgen ? s output is automatically created under the same directory as the output file. the report file has the same root name as the output file with a .bgn extension. bitgen files this section describes input files that bitgen requires and output files that bitgen generates. input files input to bitgen consists of the following files.  ncd file ? a physical description of the design mapped, placed and routed in the target device. the ncd file must be fully routed.  pcf ? an optional user-modifiable ascii physical constraints file. if you specify a pcf file on the bitgen command line, bitgen uses this file to determine which nets in the design are critical for tiedown (not used for virtex families). output files output from bitgen consists of the following files.  bit file ? a binary file with a .bit extension. the bit file contains all of the configuration information from the ncd file defining the internal logic and interconnections of the fpga, plus device-specific information from other files associated with the target device. the binary data in the bit file can then be downloaded into the fpga memory cells, or it can be used to create a prom file (see using promgen , page 523 ).  rbt file ? an optional ? rawbits ? file with an .rbt extension. the rawbits file is ascii ones and zeros representing the data in the bitstream file. if you enter a -b option on the bitgen command line, an rbt file is produced in addition to the binary bit file (see -b (create rawbits file) , page 519 ).  ll file ? an optional ascii logic allocation file with a .ll extension. the logic allocation file indicates the bitstream position of latches, flip-flops, and iob inputs and outputs. a .ll file is produced if you enter a -l option on the bitgen command line ( -l (create a
ug012 (v1.0) january 31, 2002 www.xilinx.com 519 virtex-ii pro platform fpga handbook 1-800-255-7778 using bitgen r logic allocation file) , page 523 ).  msk file ? an optional mask file with an .msk extension. this file is used to compare relevant bit locations for executing a readback of configuration data contained in an operating fpga. a msk file is produced if you enter a -m option on the bitgen command line (see -m (generate a mask file) , page 523 ).  bgn file ? a report file containing information about the bitgen run.  drc file ? a design rule check (drc) file for the design. a drc runs and the drc file is produced unless you enter a -d option on the bitgen command line (see -d (do not run drc) , page 519 ). bitgen options following is a description of command line options and how they affect bitgen behavior. -b (create rawbits file) create a ? rawbits ? ( file_name .rbt) file. the rawbits file consists of ascii ones and zeros representing the data in the bitstream file. if you are using a microprocessor to configure a single fpga, you can include the rawbits file in the source code as a text file to represent the configuration data. the sequence of characters in the rawbits file is the same as the sequence of bits written into the fpga. -d (do not run drc) do not run drc (design rule check). without the -d option, bitgen runs a drc and saves the drc results in two output files: the bitgen report file ( file_name .bgn) and the drc file ( file_name .drc). if you enter the -d option, no drc information appears in the report file and no drc file is produced. running drc before a bitstream is produced detects any errors that could cause the fpga to malfunction. if drc does not detect any errors, bitgen produces a bitstream file (unless you use the -j option described in the -j (no bit file) , page 523 ). -f (execute commands file) -f command_file the -f option executes the command line arguments in the specified command_file . -g (set configuration) -g option : setting the -g option specifies the startup timing and other bitstream options for xilinx fpgas. the settings for the -g option depend on the design ? s architecture. these options have the following syntax. compress enable bitstream compression using multiple frame writes (mfw). readback this allows the user to perform readback by the creating the necessary bitstream ( .rbb file). crc virtex-ii allows the user to enable or disable the crc checking. if crc checking is disabled, a cbc (constant bit check) is used instead. settings: enable, disable default: enable
520 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook appendix a: bitgen and promgen switches and options r debugbitstream this option creates a modified bitstream which loads each frame individually, and places an lout write after each, for debugging purposes. this option should be used only in master or slave serial downloads. settings: yes, no default: no configrate virtex-ii devices use an internal oscillator to generate cclk when configuring in master selectmap or master serial modes. this option sets the cclk rate in mhz. settings: 4,5,6,7,8,10,13,15,20,26,30,34,41,45,51,55,60,130 default: 4 startupclk the last few cycles of configuration is called the startup sequence. the startup sequence can be clocked by cclk signal, a user clock (connected to the startup block), or tck (the jtag clock). settings: cclk, userclk, jtagclk default: cclk powerdownstatus this options allows the user to choose whether the done pin is used as the powerdown pin after configuration. settings: enable, disable default: enable dcmshutdown if the dcmshutdown option is enabled, the dcm resets if the shutdown and aghigh commands are performed. settings: enable, disable default: enable cclkpin this option selects an internal pullup on the cclk pin. settings: pullnone, pullup default: pullup donepin this option selects an internal pullup on the done pin. settings: pullnone, pullup default: pullup m0pin this option selects an internal pullup or pulldown on the m0 (mode 0) pin. settings: pullnone, pullup, pulldown default: pullup m1pin this option selects an internal pullup or pulldown on the m1 (mode 1) pin. settings: pullnone, pullup, pulldown default: pullup
ug012 (v1.0) january 31, 2002 www.xilinx.com 521 virtex-ii pro platform fpga handbook 1-800-255-7778 using bitgen r m2pin this option selects an internal pullup or pulldown on the m2 (mode 2) pin. settings: pullnone, pullup, pulldown default: pullup progpin this options selects an internal pullup on the program pin. settings: pullnone, pullup default: pullup tckpin this option selects an internal pullup or pulldown on the tck (jtag clock) pin. settings: pullnone, pullup, pulldown default: pullup tdipin this option selects an internal pullup or pulldown on the tdi (jtag input) pin. settings: pullnone, pullup, pulldown default: pullup tdopin this option selects an internal pullup or pulldown on the tdo (jtag output) pin. settings: pullnone, pullup, pulldown default: pullnone tmspin this option selects an internal pullup or pulldown on the tms (jtag mode select) pin. settings: pullnone, pullup, pulldown default: pullup unusedpin this option selects an internal pullup or pulldown on all unused i/os. settings: pullnone, pullup, pulldown default: pulldown gwe_cycle selects the startup phase that asserts the internal write enable to flip-flops, lut rams, shift registers, and brams. before the startup phase both bram writing and reading are disabled. the done setting asserts gwe when the donein signal is high. donein is either the value of the done pin or a delayed version if donepipe=yes. the keep setting is used to keep the current value of the gwe signal. settings: 1, 2, 3, 4, 5, 6, done, keep default: 6 gts_cycle selects the startup phase that releases the internal 3-state control to the i/o buffers. the done setting releases gtsa when the donein signal is high. donein is either the value of the done pin or a delayed version if donepipe=yes. the keep setting is used to keep the current value of the gts signal. settings: 1, 2, 3, 4, 5, 6, done, keep default: 5
522 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook appendix a: bitgen and promgen switches and options r lck_cycle selects the startup phase to wait until dcm locks are asserted. settings: 0, 1, 2, 3, 4, 5, 6, nowait default: nowait match_cycle selects the startup phase to wait until dci locks are asserted. settings: 0, 1, 2, 3, 4, 5, 6, nowait, auto default: auto done_cycle selects the startup phase that activates the fpga done signal. done is delayed when donepipe=yes. settings: 1, 2, 3, 4, 5, 6 default: 4 persist this option is needed for readback and partial reconfiguration using the configuration pins. if persist=yes, all the configuration pins used retain their function. which configuration pins are persisted is determined by the mode pin settings. if a serial mode is chosen, the persisted pins would be init , dout, and din. if a selectmap mode is chosen, the persisted pins would be init , busy, d0-d7, cs , and write . settings: yes, no default: no drivedone this option actively drives the done pin high as opposed to an open-drain driver. take care when setting drivedone=yes in daisy chain applications. settings: yes, no default: no donepipe this option is intended for use with fpgas being set up in a high-speed daisy chain configuration. when set to yes, the fpga waits on the done pin, and waits for the first startupclk edge before moving to the done state. settings: yes, no default: no security this options selects the level of bitstream security. selecting level 1 disables readback, and selecting level 2 disables readback and reconfiguration. settings: level1, level2, none default: none userid the user can enter up to an 8-digit hexadecimal code (32-bit value) in the userid register. you can use the register to identify implementation or design revisions. settings: default: 0xffffffff
ug012 (v1.0) january 31, 2002 www.xilinx.com 523 virtex-ii pro platform fpga handbook 1-800-255-7778 using promgen r -h or -help (command usage) -h architecture displays a usage message for bitgen. the usage message displays all available options for bitgen operating on the specified architecture . -j (no bit file) do not create a bitstream file (.bit file). this option is generally used when you want to generate a report without producing a bitstream. for example, if you wanted to run drc without producing a bitstream file, you would use the -j option. note: the .msk or .rbt files might still be created. -l (create a logic allocation file) this option creates an ascii logic allocation file ( design .ll ) for the selected design. the logic allocation file indicates the bitstream position of latches, flip-flops, and iob inputs and outputs. in some applications, you may want to observe the contents of the fpga internal registers at different times. the file created by the -l option helps you identify which bits in the current bitstream represent outputs of flip-flops and latches. bits are referenced by frame and bit number within the frame. the hardware debugger uses the design.ll file to locate signal values inside a readback bitstream. -m (generate a mask file) creates a mask file. this file is used to compare relevant bit locations for executing a readback of configuration data contained in an operating fpga. -w (overwrite existing output file) enables you to overwrite an existing bit, ll, msk, or rbt output file. using promgen the promgen program is compatible with the following families.  virtex/virtex-e/virtex-ii/virtex-ii pro promgen formats a bitgen-generated configuration bitstream (bit) file into a prom format file ( figure a-2 ). the prom file contains configuration data for the fpga device. promgen converts a bit file into one of three prom formats: mcs-86 (intel), exormax (motorola), or tekhex (tektronix). it can also generate a hex file format.
524 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook appendix a: bitgen and promgen switches and options r figure a-2: promgen there are two functionally equivalent versions of promgen. there is a stand-alone version you can access from an operating system prompt. you can also access an interactive version, called the prom file formatter, from inside the design manager for alliance or the project manager in foundation. this chapter describes the stand-alone version; the interactive version is described in the prom file formatter guide . you can also use promgen to concatenate bitstream files to daisy-chain fpgas. note: if the destination prom is one of the xilinx serial proms, you are using a xilinx prom programmer, and the fpgas are not being daisy-chained, it is not necessary to make a prom file. see the hardware user guide for more information about daisy-chained designs promgen syntax use the following syntax to start promgen from the operating system prompt: promgen [ options ] options can be any number of the options listed in promgen options , page 525 . separate multiple options with spaces. promgen files this section describes the promgen input and output files. input files the input to promgen consists of bit files ? one or more bitstream files. bit files contain configuration data for an fpga design. output files output from promgen consists of the following files.  prom files ? the file or files containing the prom configuration information. depending on the prom file format used by the prom programmer, you can output a tek, mcs, or exo file. if you are using a microprocessor to configure your devices, you can output a hex file, containing a hexadecimal representation of the bitstream.  prm file ? the prm file is a prom image file. it contains a memory map of the x9226 bit mcs prom file promgen device configuration tek prom file hex exo prom file prm memory map
ug012 (v1.0) january 31, 2002 www.xilinx.com 525 virtex-ii pro platform fpga handbook 1-800-255-7778 using promgen r output prom file. the file has a .prm extension. bit swapping in prom files promgen produces a prom file in which the bits within a byte are swapped compared to the bits in the input bit file. bit swapping (also called ? bit mirroring ? ) reverses the bits within each byte, as shown in figure a-3 . in a bitstream contained in a bit file, the least significant bit (lsb) is always on the left side of a byte. but when a prom programmer or a microprocessor reads a data byte, it identifies the lsb on the right side of the byte. in order for the prom programmer or microprocessor to read the bitstream correctly, the bits in each byte must first be swapped so they are read in the correct order. in this release of the xilinx development system, the bits are swapped for all of the prom formats: mcs, exo, and tek. for a hex file output, bit swapping is on by default, but it can be turned off by entering a -b promgen option that is available only for hex file format. promgen options this section describes the options that are available for the promgen command. -b (disable bit swapping ? hex format only) this option only applies if the -p option specifies a hex file for the output of promgen. by default (no -b option), bits in the hex file are swapped compared to bits in the input bit files. if you enter a -b option, the bits are not swapped. bit swapping is described in bit swapping in prom files , page 525 . -c (checksum) promgen -c the -c option generates a checksum value appearing in the .prm file. this value should match the checksum in the prom programmer. use this option to verify that correct data was programmed into the prom. -d (load downward) promgen -d hexaddress0 filename filename... this option loads one or more bit files from the starting address in a downward direction. specifying several files after this option causes the files to be concatenated in a daisy chain. you can specify multiple -d options to load files at different addresses. you must specify this option immediately before the input bitstream file. the multiple file syntax is as follows: figure a-3: bit swapping x8074 original data 1000 1010 8a 51 data in prom file or hex file 0101 0001
526 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook appendix a: bitgen and promgen switches and options r promgen -d hexaddress0 filename filename ... the multiple -d options syntax is as follows: promgen -d hexaddress1 filename -d hexaddress2 filename... -f (execute commands file) -f command_file the -f option executes the command line arguments in the specified command_file . -help (command help) this option displays help that describes the promgen options. -l option (disable length count) promgen -l the -l option disables the length counter in the fpga bitstream. it is valid only for 4000ex, 4000xl, 4000xla, 4000xv, and spartanxl devices. use this option when chaining together bitstreams exceeding the 24 bit limit imposed by the length counter. -n (add bit files) -n file1 [ .bit ] file2 [ .bit ]... this option loads one or more bit files up or down from the next available address following the previous load. the first -n option must follow a -u or -d option because -n does not establish a direction. files specified with this option are not daisy-chained to previous files. files are loaded in the direction established by the nearest prior -u, -d, or -n option. the following syntax shows how to specify multiple files. when you specify multiple files, promgen daisy-chains the files. promgen -d hexaddress file0 -n file1 file2... the following syntax when using multiple -n options prevents the files from being daisy- chained: promgen -d hexaddress file0 -n file1 -n file2... -o (output file name) -o file1 [ .ext ] file2 [ .ext ]... this option specifies the output file name of a prom if it is different from the default. if you do not specify an output file name, the prom file has the same name as the first bit file loaded. ext is the extension for the applicable prom format. multiple file names may be specified to split the information into multiple files. if only one name is supplied for split prom files (by you or by default), the output prom files are named file _ # .ext, where file is the base name, # is 0, 1, etc., and ext is the extension for the applicable prom format. promgen -d hexaddress file0 -o filename -p (prom format) -p { mcs | exo | tek | hex} this option sets the prom format to one of the following: mcs (intel mcs86), exo (motorola exormax), tek (tektronix tekhex). the option may also produce a hex file, which is a hexadecimal representation of the configuration bitstream used for microprocessor downloads. if specified, the -p option must precede any -u, -d, or -n options. the default format is mcs.
ug012 (v1.0) january 31, 2002 www.xilinx.com 527 virtex-ii pro platform fpga handbook 1-800-255-7778 using promgen r -r (load prom file) -r promfile this option reads an existing prom file as input instead of a bit file. all of the promgen output options may be used, so the -r option can be used for splitting an existing prom file into multiple prom files or for converting an existing prom file to another format. -s (prom size) -s promsize1 promsize2... this option sets the prom size in kilobytes. the prom size must be a power of 2. the default value is 64 kilobytes. the -s option must precede any -u, -d, or -n options. multiple promsize entries for the -s option indicates the prom will be split into multiple prom files. note: promgen prom sizes are specified in bytes. the programmable logic data book specifies prom sizes in bits for xilinx serial proms (see -x option). -u (load upward) -u hexaddress0 filename1 filename2... this option loads one or more bit files from the starting address in an upward direction. when you specify several files after this option, promgen concatenates the files in a daisy chain. you can load files at different addresses by specifying multiple -u options. this option must be specified immediately before the input bitstream file. -x (specify xilinx prom) -x xilinx _prom1 xilinx _prom2... the -x option specifies one or more xilinx serial proms for which the prom files are targeted. use this option instead of the -s option if you know the xilinx proms to use. multiple xilinx _prom entries for the -x option indicates the prom will be split into multiple prom files. examples to load the file test.bit up from address 0x0000 in mcs format, enter the following information at the command line. promgen -u 0 test to daisy-chain the files test1.bit and test2.bit up from address 0x0000 and the files test3.bit and test4.bit from address 0x4000 while using a 32k prom and the motorola exormax format, enter the following information at the command line. promgen -s 32 -p exo -u 00 test1 test2 -u 4000 test3 test4 to load the file test.bit into the prom programmer in a downward direction starting at address 0x400, using a xilinx xc1718d prom, enter the following information at the command line. promgen -x xc1718d -d 0x400 test to specify a prom file name that is different from the default file name enter the following information at the command line. promgen options filename -o newfilename
528 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook appendix a: bitgen and promgen switches and options r
ug012 (v1.0) january 31, 2002 www.xilinx.com 529 virtex-ii pro platform fpga handbook 1-800-255-7778 r appendix b xc18v00 series proms this appendix contains package specifications for the xc18v00 series of in-system programmable configuration proms, as well as the xc18v00 series product specification (ds026). the latest version of this information is available online ( at www.xilinx.com ). prom package specifications this section contains specifications for the following virtex-ii packages:  pc20-84 specification  so20 specification  vq44 specification
530 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook appendix b: xc18v00 series proms r pc20-84 specification figure b-1: pc20-84 specification ug002_app_01_111600
ug012 (v1.0) january 31, 2002 www.xilinx.com 531 virtex-ii pro platform fpga handbook 1-800-255-7778 prom package specifications r so20 specification figure b-2: so20 specification ug002_app_02_111600 ug002_app_02_111600
532 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook appendix b: xc18v00 series proms r vq44 specification figure b-3: vq44 specification ug002_app_04_111600
ds026 (v3.0) november 12, 2001 www.xilinx.com 533 product specification 1-800-255-7778 ? 2001 xilinx, inc. all rights reserved. all xilinx trademarks, registered trademarks, patents, and disclaimers are as listed a t http://www.xilinx.com/legal.htm . all other trademarks and registered trademarks are the property of their respective owners. all specifications are subject to c hange without notice. features  in-system programmable 3.3v proms for configuration of xilinx fpgas - endurance of 20,000 program/erase cycles - program/erase over full commercial/industrial voltage and temperature range  ieee std 1149.1 boundary-scan (jtag) support  simple interface to the fpga  cascadable for storing longer or multiple bitstreams  low-power advanced cmos flash process  dual configuration modes - serial slow/fast configuration (up to 33 mhz) - parallel (up to 264 mb/s at 33 mhz)  5v tolerant i/o pins accept 5v, 3.3v and 2.5v signals  3.3v or 2.5v output capability  available in pc20, so20, pc44 and vq44 packages  design support using the xilinx alliance and foundation series software packages.  jtag command initiation of standard fpga configuration description xilinx introduces the xc18v00 series of in-system program- mable configuration proms ( figure 1 ). initial devices in this 3.3v family are a 4-megabit, a 2-megabit, a 1-megabit, a 512-kbit, and a 256-kbit prom that provide an easy-to-use, cost-effective method for re-programming and storing large xilinx fpga or cpld configuration bitstreams. when the fpga is in master serial mode, it generates a configuration clock that drives the prom. a short access time after the rising cclk, data is available on the prom data (d0) pin that is connected to the fpga d in pin. the fpga generates the appropriate number of clock pulses to complete the configuration. when the fpga is in slave serial mode, the prom and the fpga are clocked by an external clock. when the fpga is in slave-parallel or selectmap mode, an external oscillator generates the configuration clock that drives the prom and the fpga. after the rising cclk edge, data are available on the proms data (d0-d7) pins. the data is clocked into the fpga on the following rising edge of the cclk. neither slave-parallel nor selectmap utilize a length count, so a free-running oscillator can be used. multiple devices can be concatenated by using the ceo output to drive the ce input of the following device. the clock inputs and the data outputs of all proms in this chain are interconnected. all devices are compatible and can be cascaded with other members of the family or with the xc17v00 one-time programmable serial prom family. 0 xc18v00 series of in-system programmable configuration proms ds026 (v3.0) november 12, 2001 00 product specification r figure 1: xc18v00 series block diagram control and jtag interface memory serial or parallel interface d0 data (serial or parallel [slave-parallel/selectmap] mode) d[1:7] slave-parallel and selectmap interface data address clk ce tck tms tdi tdo oe/reset ceo data ds026_01_111201 7 cf
xc18v00 series of in-system programmable configuration proms 534 www.xilinx.com ds026 (v3.0) november 12, 2001 1-800-255-7778 product specification r pinout and pin description table 1: pin names and descriptions (pins not listed are ? no connect ? ) pin name boundary scan order function pin description 44-pin vqfp 44-pin plcc 20-pin soic and plcc d0 4 data out d0 is the data output pin to provide data for configuring an fpga in serial mode. 40 2 1 3output enable d1 6 data out d0-d7 are the output pins to provide parallel data for configuring a xilinx fpga in slave-parallel/selectmap mode. 29 35 16 5output enable d2 2 data out 42 4 2 1output enable d3 8 data out 27 33 15 7output enable d4 24 data out 9 15 7 (1) 23 output enable d5 10 data out 25 31 14 9output enable d6 17 data out 14 20 9 16 output enable d7 14 data out 19 25 12 13 output enable clk 0 data in each rising edge on the clk input increments the internal address counter if both ce is low and oe/reset is high. 43 5 3 oe/ reset 20 data in when low, this input holds the address counter reset and the data output is in a high-impedance state. this is a bidirectional open-drain pin that is held low while the prom is reset. polarity is not programmable. 13 19 8 19 data out 18 output enable ce 15 data in when ce is high, this pin puts the device into standby mode and resets the address counter. the data output pin is in a high-impedance state, and the device is in low power standby mode. 15 21 10
xc18v00 series of in-system programmable configuration proms ds026 (v3.0) november 12, 2001 www.xilinx.com 535 product specification 1-800-255-7778 r cf 22 data out allows jtag config instruction to initiate fpga configuration without powering down fpga. this is an open-drain output that is pulsed low by the jtag config command. 10 16 7 (1) 21 output enable ceo 11 data out chip enable output (ceo ) is connected to the ce input of the next prom in the chain. this output is low when ce is low and oe/reset input is high, and the internal address counter has been incremented beyond its terminal count (tc) value. when oe/reset goes low, ceo stays high until the prom is brought out of reset by bringing oe/reset high. 21 27 13 12 output enable gnd gnd is the ground connection. 6, 18, 28 & 41 3, 12, 24 & 34 11 tms mode select the state of tms on the rising edge of tck determines the state transitions at the test access port (tap) controller. tms has an internal 50k ohm resistive pull-up on it to provide a logic ? 1 ? to the device if the pin is not driven. 511 5 tck clock this pin is the jtag test clock. it sequences the tap controller and all the jtag test and programming electronics. 713 6 tdi data in this pin is the serial input to all jtag instruction and data registers. tdi has an internal 50k ohm resistive pull-up on it to provide a logic ? 1 ? to the system if the pin is not driven. 39 4 tdo data out this pin is the serial output for all jtag instruction and data registers. tdo has an internal 50k ohm resistive pull-up on it to provide a logic ? 1 ? to the system if the pin is not driven. 31 37 17 v cc positive 3.3v supply voltage for internal logic and input buffers. 17, 35 & 38 23, 41 & 44 18 & 20 v cco positive 3.3v or 2.5v supply voltage connected to the output voltage drivers. 8, 16, 26 & 36 14, 22, 32 & 42 19 notes: 1. pin 7 is cf in serial mode, d4 in slave-parallel mode for 20-pin packages. table 1: pin names and descriptions (pins not listed are ? no connect ? ) (continued) pin name boundary scan order function pin description 44-pin vqfp 44-pin plcc 20-pin soic and plcc
xc18v00 series of in-system programmable configuration proms 536 www.xilinx.com ds026 (v3.0) november 12, 2001 1-800-255-7778 product specification r xilinx fpgas and compatible proms ta b l e 2 provides a list of xilinx fpgas and compatible proms. capacity in-system programming in-system programmable proms can be programmed indi- vidually, or two or more can be daisy-chained together and programmed in-system via the standard 4-pin jtag proto- col as shown in figure 2 . in-system programming offers quick and efficient design iterations and eliminates unnec- essary package handling or socketing of devices. the xilinx development system provides the programming data sequence using either xilinx jtag programmer software and a download cable, a third-party jtag development sys- tem, a jtag-compatible board tester, or a simple micropro- cessor interface that emulates the jtag instruction sequence. the jtag programmer software also outputs table 2: xilinx fpgas and compatible proms device configuration bits xc18v00 solution xc2v40 360,160 xc18v512 xc2v80 635,360 xc18v01 xc2v250 1,697,248 xc18v02 xc2v500 2,761,952 xc18v04 xc2v1000 4,082,656 xc18v04 xc2v1500 5,659,360 xc18v04 + xc18v02 xc2v2000 7,492,064 2 of xc18v04 xc2v3000 10,494,432 3 of xc18v04 xc2v4000 15,660,000 4 of xc18v04 xc2v6000 21,849,568 5 of xc18v04 + xc18v02 xc2v8000 29,063,136 7 of xc18v04 xcv50 559,200 xc18v01 xcv100 781,216 xc18v01 xcv150 1,040,096 xc18v01 xcv200 1,335,840 xc18v02 xcv300 1,751,808 xc18v02 xcv400 2,546,048 xc18v04 xcv600 3,607,968 xc18v04 xcv800 4,715,616 xc18v04 + xc18v512 xcv1000 6,127,744 xc18v04 + xc18v02 xcv50e 630,048 xc18v01 xcv100e 863,840 xc18v01 xcv200e 1,442,106 xc18v02 xcv300e 1,875,648 xc18v02 xcv400e 2,693,440 xc18v04 xcv405e 3,430,400 xc18v04 xcv600e 3,961,632 xc18v04 xcv812e 6,519,648 2 of xc18v04 xcv1000e 6,587,520 2 of xc18v04 xcv1600e 8,308,992 2 of xc18v04 xcv2000e 10,159,648 3 of xc18v04 xcv2600e 12,922,336 4 of xc18v04 xcv3200e 16,283,712 4 of xc18v04 xc2s15 197,696 xc18v256 xc2s30 336,768 xc18v512 xc2s50 559,200 xc18v01 xc2s100 781,216 xc18v01 xc2s150 1,040,096 xc18v01 xc2s200 1,335,840 xc18v02 xc2s50e 630,048 xc18v01 xc2s100e 863,840 xc18v01 xc2s150e 1,134,528 xc18v02 xc2s200e 1,442,016 xc18v02 xc2s300e 1,875,648 xc18v02 devices configuration bits xc18v04 4,194,304 xc18v02 2,097,152 xc18v01 1,048,576 xc18v512 524,288 xc18v256 262,144 ta b l e 2 : xilinx fpgas and compatible proms device configuration bits xc18v00 solution
xc18v00 series of in-system programmable configuration proms ds026 (v3.0) november 12, 2001 www.xilinx.com 537 product specification 1-800-255-7778 r serial vector format (svf) files for use with any tools that accept svf format and with automatic test equipment. all outputs are held in a high-impedance state or held at clamp levels during in-system programming. oe/reset the isp programming algorithm requires issuance of a reset that causes oe to go low. external programming xilinx reprogrammable proms can also be programmed by the xilinx hw-130 device programmer. this provides the added flexibility of using pre-programmed devices in board design and boundary-scan manufacturing tools, with an in-system programmable option for future enhancements and design changes. reliability and endurance xilinx in-system programmable products provide a guaran- teed endurance level of 20,000 in-system program/erase cycles and a minimum data retention of 20 years. each device meets all functional, performance, and data retention specifications within this endurance limit. design security the xilinx in-system programmable prom devices incorpo- rate advanced data security features to fully protect the pro- gramming data against unauthorized reading. ta b l e 3 shows the security setting available. the read security bit can be set by the user to prevent the internal programming pattern from being read or copied via jtag. when set, it allows device erase. erasing the entire device is the only way to reset the read security bit. ta b l e 3 : data security options ieee 1149.1 boundary-scan (jtag) the xc18v00 family is fully compliant with the ieee std. 1149.1 boundary-scan, also known as jtag. a test access port (tap) and registers are provided to support all required boundary scan instructions, as well as many of the optional instructions specified by ieee std. 1149.1. in addi- tion, the jtag interface is used to implement in-system pro- gramming (isp) to facilitate configuration, erasure, and verification operations on the xc18v00 device. ta b l e 4 lists the required and optional boundary-scan instructions supported in the xc18v00. refer to the ieee std. 1149.1 specification for a complete description of boundary-scan architecture and the required and optional instructions. default = reset set read allowed program/erase allowed read inhibited via jtag erase allowed figure 2: in-system programming operation (a) solder device to pcb and (b) program using download cable ds026_02_011100 gnd v cc (a) (b)
xc18v00 series of in-system programmable configuration proms 538 www.xilinx.com ds026 (v3.0) november 12, 2001 1-800-255-7778 product specification r instruction register the instruction register (ir) for the xc18v00 is eight bits wide and is connected between tdi and tdo during an instruction scan sequence. in preparation for an instruction scan sequence, the instruction register is parallel loaded with a fixed instruction capture pattern. this pattern is shifted out onto tdo (lsb first), while an instruction is shifted into the instruction register from tdi. the detailed composition of the instruction capture pattern is illustrated in figure 3 . the isp status field, ir(4), contains logic ? 1 ? if the device is currently in isp mode; otherwise, it contains logic ? 0 ? . the security field, ir(3), contains logic ? 1 ? if the device has been programmed with the security option turned on; otherwise, it contains logic ? 0 ? . boundary scan register the boundary-scan register is used to control and observe the state of the device pins during the extest, sam- ple/preload, and clamp instructions. each output pin on the xc18v00 has two register stages that contribute to the boundary-scan register, while each input pin only has one register stage. for each output pin, the register stage nearest to tdi con- trols and observes the output state, and the second stage closest to tdo controls and observes the high-z enable state of the pin. for each input pin, the register stage controls and observes the input state of the pin. identification registers the idcode is a fixed, vendor-assigned value that is used to electrically identify the manufacturer and type of the device being addressed. the idcode register is 32 bits wide. the idcode register can be shifted out for examina- tion by using the idcode instruction. the idcode is avail- able to any other system component via jtag. the idcode register has the following binary format: vvvv:ffff:ffff:aaaa:aaaa:cccc:cccc:ccc1 where v = the die version number f = the family code (50h for xc18v00 family) a = the isp prom product id (26h for the xc18v04) c = the company code (49h for xilinx) note: the lsb of the idcode register is always read as logic ? 1 ? as defined by ieee std. 1149.1 ta b l e 5 lists the idcode register values for the xc18v00 devices. the usercode instruction gives access to a 32-bit user programmable scratch pad typically used to supply informa- tion about the device ? s programmed contents. by using the usercode instruction, a user-programmable identifica- tion code can be shifted out for examination. this code is loaded into the usercode register during programming of the xc18v00 device. if the device is blank or was not loaded during programming, the usercode register con- tains ffffffffh. table 4: boundary scan instructions boundary-scan command binary code [7:0] description required instructions bypass 11111111 enables bypass sample/ preload 00000001 enables boundary-scan sample/preload operation extest 00000000 enables boundary-scan extest operation optional instructions clamp 11111010 enables boundary-scan clamp operation highz 11111100 all outputs in high-impedance state simultaneously idcode 11111110 enables shifting out 32-bit idcode usercode 11111101 enables shifting out 32-bit usercode xc18v00 specific instructions config 11101110 initiates fpga configuration by pulsing cf pin low ir[7:5] ir[4] ir[3] ir[2] ir[1:0] tdi-> 0 0 0 isp status security 0 0 1 ->td o notes: 1. ir(1:0) = 01 is specified by ieee std. 1149.1 figure 3: instruction register values loaded into ir as part of an instruction scan sequence ta b l e 5 : idcodes assigned to xc18v00 devices isp-prom idcode xc18v01 05024093h xc18v02 05025093h xc18v04 05026093h xc18v256 05022093h xc18v512 05023093h
xc18v00 series of in-system programmable configuration proms ds026 (v3.0) november 12, 2001 www.xilinx.com 539 product specification 1-800-255-7778 r xc18v00 tap characteristics the xc18v00 family performs both in-system programming and ieee 1149.1 boundary-scan (jtag) testing via a single 4-wire test access port (tap). this simplifies system designs and allows standard automatic test equipment to perform both functions. the ac characteristics of the xc18v00 tap are described as follows. tap timing figure 4 shows the timing relationships of the tap signals. these tap timing characteristics are identical for both boundary-scan and isp operations. tap ac parameters ta b l e 6 shows the timing parameters for the tap waveforms shown in figure 4 connecting configuration proms connecting the fpga device with the configuration prom (see figure 6 ).  the data output(s) of the prom(s) drives the d in input of the lead fpga device.  the master fpga cclk output drives the clk input(s) of the prom(s) (in master serial mode only).  the ceo output of a prom drives the ce input of the next prom in a daisy chain (if any).  the oe/reset input of all proms is best driven by the init output of the lead fpga device. this connection assures that the prom address counter is reset before the start of any (re)configuration, even when a reconfiguration is initiated by a v cc glitch.  the prom ce input can be driven from the done pin. the ce input of the first (or only) prom can be driven by the done output of the first fpga device, provided that done is not permanently grounded. ce can also be permanently tied low, but this keeps the data output active and causes an unnecessary supply current of 10 ma maximum.  slave-parallel/selectmap mode is similar to slave serial mode. the data is clocked out of the prom one byte per cclk instead of one bit per cclk cycle. see fpga data sheets for special configuration requirements. figure 4: test access port timing table 6: test access port timing parameters symbol parameter min max units t ckmin1 tck minimum clock period 100 - ns t ckmin2 tck minimum clock period, bypass mode 50 - ns t mss tms setup time 10 - ns t msh tms hold time 25 - ns t dis tdi setup time 10 - ns t dih tdi hold time 25 - ns t dov tdo valid delay - 25 ns tck t ckmin t mss tms tdi tdo t msh t dih t dov t dis ds026_04_020300
xc18v00 series of in-system programmable configuration proms 540 www.xilinx.com ds026 (v3.0) november 12, 2001 1-800-255-7778 product specification r initiating fpga configuration the xc18v00 devices incorporate a pin named cf that is controllable through the jtag config instruction. execut- ing the config instruction through jtag pulses the cf low for 300-500 ns, which resets the fpga and initiates config- uration. the cf pin must be connected to the program pin on the fpga(s) to use this feature. the jtag programmer software can also issue a jtag config command to initiate fpga configuration through the ? load fpga ? setting. selecting configuration modes the xc18v00 accommodates serial and parallel methods of configuration. the configuration modes are selectable through a user control register in the xc18v00 device. this control register is accessible through jtag, and is set using the ? parallel mode ? setting on the xilinx jtag programmer software. serial output is the default programming mode. master serial mode summary the i/o and logic functions of the configurable logic block (clb) and their associated interconnections are established by a configuration program. the program is loaded either automatically upon power up, or on command, depending on the state of the three fpga mode pins. in master serial mode, the fpga automatically loads the configuration pro- gram from an external memory. xilinx proms are designed to accommodate the master serial mode. upon power-up or reconfiguration, an fpga enters the mas- ter serial mode whenever all three of the fpga mode-select pins are low (m0=0, m1=0, m2=0). data is read from the prom sequentially on a single data line. synchronization is provided by the rising edge of the temporary signal cclk, which is generated by the fpga during configuration. master serial mode provides a simple configuration inter- face. only a serial data line, a clock line, and two control lines are required to configure an fpga. data from the prom is read sequentially, accessed via the internal address and bit counters which are incremented on every valid rising edge of cclk. if the user-programmable, dual-function d in pin on the fpga is used only for configu- ration, it must still be held at a defined level during normal operation. the xilinx fpga families take care of this auto- matically with an on-chip pull-up resistor. cascading configuration proms for multiple fpgas configured as a serial daisy-chain, or a single fpga requiring larger configuration memories in a serial or selectmap configuration mode, cascaded proms provide additional memory ( figure 5 ). multiple xc18v00 devices can be concatenated by using the ceo output to drive the ce input of the downstream device. the clock inputs and the data outputs of all xc18v00 devices in the chain are interconnected. after the last bit from the first prom is read, the next clock signal to the prom asserts its ceo output low and drives its data line to a high-imped- ance state. the second prom recognizes the low level on its ce input and enables its data output. see figure 6 . after configuration is complete, address counters of all cas- caded proms are reset if the prom oe/reset pin goes low.
xc18v00 series of in-system programmable configuration proms ds026 (v3.0) november 12, 2001 www.xilinx.com 541 product specification 1-800-255-7778 r figure 5: jtag chain for configuring devices in master serial mode 4.7k 4.7k ** 1 2 3 4 tdo dout tdi tms tck vcc vcc din cclk done init vcc mode pins* xilinx fpga master serial vcc d0 vcco tdi clk tms ce tck ceo oe/reset program tdo tdi tms tck din cclk done init vcc mode pins* xilinx fpga slave serial program cf tdo gnd * for mode pin connections, refer to appropriate fpga data sheet. ** virtex, virtex-e is 300 ohms, all others are 4.7k. xc18v00 cascaded prom tdi tms tck tdo j1 ds026_08_011501 vcc vcco vcco vcc d0 vcco tdi clk tms ce tck ceo oe/reset cf tdo gnd xc18v00 first prom vcc
xc18v00 series of in-system programmable configuration proms 542 www.xilinx.com ds026 (v3.0) november 12, 2001 1-800-255-7778 product specification r figure 6: (a) master serial mode (b) virtex selectmap mode (c) spartan-ii/iie slave-parallel mode (dotted lines indicate optional connection) program din cclk init done first prom data ceo clk ce optional slave fpgas with identical configurations vcc fpga (low resets the address pointer) v cc v cco optional daisy-chained fpgas with different configurations oe/reset dout modes vcco cf program virtex select map busy cs write init d[0:7] cclk done virtex selectmap mode ce modes nc 3.3v external osc v cc 4.7k v cc ** v cc 3.3k v cc 3.3k v cc 1k i/o m0 m1 cs program spartan-ii, spartan- iie done init xc18vxx ceo ce oe/reset spartan-ii/iie slave-parallel mode master serial mode 8 cf clk d[0:7] d[0:7] cclk m0 m1 cs program optional daisy-chained spartan-ii, spartan-iie dout done init d[0:7] cclk 8 to additional optional daisy-chained devices to additional optional daisy-chained devices external osc i/o 1k (1) cs and write must be pulled down to be used as i/o. one option is shown. (2) virtex, virtex-e is 300 ohms, all others are 4.7k. (3) for mode pin connections, refer to the appropriate fpga data sheet. (4) external oscillator required for virtex/e selectmap or virtex-ii slave selectmap modes. ds026_05_111201 (1) for mode pin connections, refer to the appropriate fpga data sheet. (2) virtex is 300 ohms. cascaded prom data clk ce oe/reset cf clk d[0:7] oe/reset xc18vxx cf ceo v cc v cco v cc v cco v cco v cc v cco v cc cs(0) v cc (2) (1) 4.7k (1) (1) (2) (4) ce 4.7k v cc v cc 3.3k clk d[0:7] oe/reset xc18vxx cf ceo v cc v cco v cc v cco v cc cs(1) v cc
xc18v00 series of in-system programmable configuration proms ds026 (v3.0) november 12, 2001 www.xilinx.com 543 product specification 1-800-255-7778 r 5v tolerant i/os the i/os on each re-programmable prom are fully 5v tol- erant even through the core power supply is 3.3v. this allows 5v cmos signals to connect directly to the prom inputs without damage. in addition, the 3.3v v cc power supply can be applied before or after 5v signals are applied to the i/os. in mixed 5v/3.3v/2.5v systems, the user pins, the core power supply (v cc ), and the output power supply (v cco ) can have power applied in any order. this makes the prom devices immune to power supply sequencing issues. reset activation on power up, oe/reset is held low until the xc18v00 is active (1 ms) and able to supply data after receiving a cclk pulse from the fpga. oe/reset is connected to an exter- nal resistor to pull oe/reset high releasing the fpga init and allowing configuration to begin. oe/reset is held low until the xc18v00 voltage reaches the operating volt- age range. if the power drops below 2.0v, the prom resets. oe/reset polarity is not programmable. standby mode the prom enters a low-power standby mode whenever ce is asserted high. the output remains in a high-impedance state regardless of the state of the oe input. jtag pins tms, tdi and tdo can be in a high-impedance state or high. customer control pins the xc18v00 proms have various control bits accessible by the customer. these can be set after the array has been programmed using ? skip user array ? in xilinx jtag pro- grammer software. table 7: truth table for prom control inputs control inputs internal address outputs oe/reset ce data ceo i cc high low if address < tc (1) : increment if address > tc (1) : don ? t change active high-z high low active reduced low low held reset high-z high active high high held reset high-z high standby low high held reset high-z high standby notes: 1. tc = terminal count = highest address value. tc + 1 = address 0.
xc18v00 series of in-system programmable configuration proms 544 www.xilinx.com ds026 (v3.0) november 12, 2001 1-800-255-7778 product specification r absolute maximum ratings recommended operating conditions quality and reliability characteristics symbol description value units v cc supply voltage relative to gnd ? 0.5 to +4.0 v v in input voltage with respect to gnd ? 0.5 to +5.5 v v ts voltage applied to high-z output ? 0.5 to +5.5 v t stg storage temperature (ambient) ? 65 to +150 c t sol maximum soldering temperature (10s @ 1/16 in.) +260 c t j junction temperature +150 c notes: 1. maximum dc undershoot below gnd must be limited to either 0.5v or 10 ma, whichever is easier to achieve. during transitions, the device pins can undershoot to ? 2.0v or overshoot to +7.0v, provided this over- or undershoot lasts less then 10 ns and with the forcing current being limited to 200 ma. 2. stresses beyond those listed under absolute maximum ratings might cause permanent damage to the device. these are stress ratings only, and functional operation of the device at these or any other conditions beyond those listed under operating condi tions is not implied. exposure to absolute maximum ratings conditions for extended periods of time might affect device reliability. symbol parameter min max units v ccint internal voltage supply (t a = 0 c to +70 c) commercial 3.0 3.6 v internal voltage supply (t a = ? 40 c to +85 c) industrial 3.0 3.6 v v cco supply voltage for output drivers for 3.3v operation 3.0 3.6 v supply voltage for output drivers for 2.5v operation 2.3 2.7 v v il low-level input voltage 0 0.8 v v ih high-level input voltage 2.0 5.5 v v o output voltage 0 v cco v t vcc v cc rise time from 0v to nominal voltage (1) 150ms notes: 1. at power up, the device requires the v cc power supply to monotonically rise from 0v to nominal voltage within the specified v cc rise time. if the power supply cannot meet this requirement, then the device might not perform power-on-reset properly. symbol description min max units t dr data retention 20 - years n pe program/erase cycles (endurance) 20,000 - cycles v esd electrostatic discharge (esd) 2,000 - volts
xc18v00 series of in-system programmable configuration proms ds026 (v3.0) november 12, 2001 www.xilinx.com 545 product specification 1-800-255-7778 r dc characteristics over operating conditions symbol parameter test conditions min max units v oh high-level output voltage for 3.3v outputs i oh = ? 4 ma 2.4 - v high-level output voltage for 2.5v outputs i oh = ? 500 a 90% v cco -v v ol low-level output voltage for 3.3v outputs i ol = 8 ma - 0.4 v low-level output voltage for 2.5v outputs i ol = 500 a-0.4v i cc supply current, active mode 25 mhz - 25 ma i ccs supply current, standby mode - 10 ma i ilj jtag pins tms, tdi, and tdo v cc = max v in = gnd ? 100 - a i il input leakage current v cc = max v in = gnd or v cc ? 10 10 a i ih input and output high-z leakage current v cc = max v in = gnd or v cc ? 10 10 a c in and c out input and output capacitance v in = gnd f = 1.0 mhz -10pf notes: 1. 18v01/18v512/18v256 only, cascadable. 2. 18v01/18v512/18v256 only, non-cascadable, no brown-out protection.
xc18v00 series of in-system programmable configuration proms 546 www.xilinx.com ds026 (v3.0) november 12, 2001 1-800-255-7778 product specification r ac characteristics over operating conditions for xc18v04 and xc18v02 oe/reset ce clk data t ce t oe t lc t sce t hce t hoe t cac t oh t df t oh t hc ds026_06_012000 t cyc symbol description min max units t oe oe/reset to data delay - 10 ns t ce ce to data delay - 20 ns t cac clk to data delay - 20 ns t oh data hold from ce , oe/reset , or clk 0 - ns t df ce or oe/reset to data float delay (2) -25ns t cyc clock periods 50 - ns t lc clk low time (3) 10 - ns t hc clk high time (3) 10 - ns t sce ce setup time to clk (to guarantee proper counting) (3) 25 - ns t hce ce high time (to guarantee proper counting) 2 - s t hoe oe/reset hold time (guarantees counters are reset) 25 - ns notes: 1. ac test load = 50 pf. 2. float delays are measured with 5 pf ac loads. transition is measured at 200 mv from steady state active levels. 3. guaranteed by design, not tested. 4. all ac parameters are measured with v il = 0.0v and v ih = 3.0v. 5. if t hce high < 2 s, t ce = 2 s.
xc18v00 series of in-system programmable configuration proms ds026 (v3.0) november 12, 2001 www.xilinx.com 547 product specification 1-800-255-7778 r ac characteristics over operating conditions for xc18v01, xc18v512, and xc18v256 oe/reset ce clk data t ce t oe t lc t sce t hce t hoe t cac t oh t df t oh t hc ds026_06_012000 t cyc symbol description min max units t oe oe/reset to data delay - 10 ns t ce ce to data delay - 15 ns t cac clk to data delay - 15 ns t oh data hold from ce , oe/reset , or clk 0 - ns t df ce or oe/reset to data float delay (2) -25ns t cyc clock periods 30 - ns t lc clk low time (3) 10 - ns t hc clk high time (3) 10 - ns t sce ce setup time to clk (to guarantee proper counting) (3) 20 - ns t hce ce hold time to clk (to guarantee proper counting) 2 - s t hoe oe/reset hold time (guarantees counters are reset) 20 - ns notes: 1. ac test load = 50 pf. 2. float delays are measured with 5 pf ac loads. transition is measured at 200 mv from steady state active levels. 3. guaranteed by design, not tested. 4. all ac parameters are measured with v il = 0.0v and v ih = 3.0v. 5. if t hce high < 2 s, t ce = 2 s.
xc18v00 series of in-system programmable configuration proms 548 www.xilinx.com ds026 (v3.0) november 12, 2001 1-800-255-7778 product specification r ac characteristics over operating conditions when cascading for xc18v04 and xc18v02 clk data ce ceo first bit last bit t cdf ds026_07_020300 oe/reset t ock t ooe t oce symbol description min max units t cdf clk to data float delay (2,3) -25 ns t ock clk to ceo delay (3) -20 ns t oce ce to ceo delay (3) -20 ns t ooe oe/reset to ceo delay (3) -20 ns notes: 1. ac test load = 50 pf. 2. float delays are measured with 5 pf ac loads. transition is measured at 200 mv from steady state active levels. 3. guaranteed by design, not tested. 4. all ac parameters are measured with v il = 0.0v and v ih = 3.0v.
xc18v00 series of in-system programmable configuration proms ds026 (v3.0) november 12, 2001 www.xilinx.com 549 product specification 1-800-255-7778 r ac characteristics over operating conditions when cascading for xc18v01, xc18v512, and xc18v256 clk data ce ceo first bit last bit t cdf ds026_07_020300 oe/reset t ock t ooe t oce symbol description min max units t cdf clk to data float delay (2,3) -25 ns t ock clk to ceo delay (3) -20 ns t oce ce to ceo delay (3) -20 ns t ooe oe/reset to ceo delay (3) -20 ns notes: 1. ac test load = 50 pf. 2. float delays are measured with 5 pf ac loads. transition is measured at 200 mv from steady state active levels. 3. guaranteed by design, not tested. 4. all ac parameters are measured with v il = 0.0v and v ih = 3.0v.
xc18v00 series of in-system programmable configuration proms 550 www.xilinx.com ds026 (v3.0) november 12, 2001 1-800-255-7778 product specification r ordering information valid ordering combinations marking information xc18v04vq44c xc18v02vq44c xc18v01vq44c xc18v512vq44c xc18v256vq44c xc18v04pc44c xc18v02pc44c xc18v01pc20c xc18v512pc20c xc18v256pc20c xc18v01so20c xc18v512so20c xc18v256so20c xc18v04vq44i xc18v02vq44i xc18v01vq44i xc18v512vq44i xc18v256vq44i xc18v04pc44i xc18v02pc44i xc18v01pc20i xc18v512pc20i xc18v256pc20i xc18v01so20i xc18v512so20i xc18v256so20i xc18v04 vq44 c operating range/processing c= commercial (t a = 0 to +70 c) i = industrial (t a = ? 40 to +85 c) package type vq44 = 44-pin plastic quad flat package pc44 = 44-pin plastic chip carrier (1) so20 = 20-pin small-outline package (2) pc20 = 20-pin plastic leaded chip carrier (2) device number xc18v04 xc18v02 xc18v01 xc18v512 xc18v256 notes: 1. xc18v04 and xc18v02 only. 2. xc18v01, xc18v512, and xc18v256 only. 20-pin package (1) due to the small size of the commercial serial prom packages, the complete ordering part number cannot be marked on the package. the xc prefix is deleted and the package code is simplified. device marking is as follows: 44-pin package xc18v04 vq44 c operating range/processing c= commercial (t a = 0 to +70 c) i = industrial (t a = ? 40 to +85 c) package type vq44 = 44-pin plastic quad flat package pc44 = 44-pin plastic leaded chip carrier (1) notes: 1. xc18v02 and xc18v04 only. device number xc18v04 xc18v02 xc18v01 xc18v512 xc18v256 18v01 s c operating range/processing c = commercial (t a = 0 to +70 c) i = industrial (t a = ? 40 to +85 c) package type s20 = 20-pin small-outline package j20 = 20-pin plastic leaded chip carrier device number 18v01 18v512 18v256 notes: 1. xc18v01, xc18v512, and xc18v256 only.
xc18v00 series of in-system programmable configuration proms ds026 (v3.0) november 12, 2001 www.xilinx.com 551 product specification 1-800-255-7778 r revision history the following table shows the revision history for this document. date version revision 2/9/99 1.0 first publication of this early access specification 8/23/99 1.1 edited text, changed marking, added cf and parallel load 9/1/99 1.2 corrected jtag order, security and endurance data. 9/16/99 1.3 corrected selectmap diagram, control inputs, reset polarity. added jtag and cf description, 256 kbit and 128 kbit devices. 01/20/00 2.0 added q44 package, changed xc18xx to xc18vxx 02/18/00 2.1 updated jtag configuration, ac and dc characteristics 04/04/00 2.2 removed stand alone resistor on init pin in figure 5. added virtex-e and em parts to fpga table. 06/29/00 2.3 removed xc18v128 and updated format. added ac characteristics for xc18v01, xc18v512, and xc18v256 densities. 11/13/00 2.4 features: changed 264 mhz to 264 mb/s at 33 mhz; ac spec.: t sce units to ns, t hce ce high time units to s. removed standby mode statement: ? the lower power standby modes available on some xc18v00 devices are set by the user in the programming software ? . changed 10,000 cycles endurance to 20,000 cycles. 01/15/01 2.5 updated figures 5 and 6, added 4.7 resistors. identification registers: changes isp prom product id from 06h to 26h. 04/04/01 2.6 updated figure 6 , virtex selectmap mode; added xc2v products to compatible prom table; changed endurance from 10,000 cycles, 10 years to 20,000, 20 years; 04/30/01 2.7 updated figure 6 : removed virtex-e in note 2, fixed selectmap mode connections. under ac characteristics over operating conditions for xc18v04 and xc18v02 , changed t sce from 25 ms to 25 ns. 06/11/01 2.8 ac characteristics over operating conditions for xc18v01, xc18v512, and xc18v256 changed min values for t sce from 20 ms to 20 ns and for t hce from 2 ms to 2 s. 09/28/01 2.9 changed the boundary scan order for the ceo pin in ta b l e 1 , updated the configuration bits values in the table under xilinx fpgas and compatible proms , and added information to the recommended operating conditions table. 11/12/01 3.0 updated for spartan-iie fpga family.
xc18v00 series of in-system programmable configuration proms 552 www.xilinx.com ds026 (v3.0) november 12, 2001 1-800-255-7778 product specification r
ug012 (v1.0) january 31, 2002 www.xilinx.com 553 virtex-ii pro platform fpga handbook 1-800-255-7778 r glossary ac coupling method of interfacing drivers and receivers through a series capacitor. often used when the differential swing between drivers and receivers is compatible, but common mode voltages of driver and receiver are not. requires that a minimum data frequency be established based on the rc time constant, necessitating a run length limit. addressing modes techniques used by software or hardware in calculating an address. alu (arithmetic and logic unit) the part of a processor that performs integer addition, subtraction, multiplication, division and other boolean logic instructions. aql (acceptable quality level) the relative number of devices, expressed in parts-per-million (ppm), that might not meet specification or might be defective. typical values are around 10 ppm. asic (application-specific integrated circuit) an integrated circuit designed to perform a particular function by defining the interconnection of a set of basic circuit building blocks drawn from a library provided by the circuit manufacturer. assembler a software development tool that translates assembly language programs into machine instructions that the processor can decode and execute. assembly language a human-readable form of a processor ? s instruction set. most processor-specific functions are written in assembly language. asynchronous logic that is not synchronized by a clock. asynchronous designs can be faster than synchronous ones, but are more sensitive to parametric changes, and are thus less robust.
554 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook glossary r atm (asynchronous transfer mode) a very-high-speed (megahertz to gigahertz) connection-oriented bit-serial protocol for transmitting data and real-time voice and video in fixed-length packets (48-byte payload, 5-byte header). attenuation reduction in amplitude of a signal. back annotation automatically attaching timing values to the entered design format after the design has been placed and routed in a field-programmable gate array (fpga). ber (bit error rate) a measurement of the number of errors detected at a receiver in a given length of time, sometimes specified as a percentage of received bits; sometimes specified in exponential form (10e-8 to indicate 1 bit error in 10e-8 bits). bert (bit error rate test, bit error rate tester) a test or an instrument used to determine the bit error rate (ber) of a device or system under test. it is generally made up of a test pattern generator, receiver, and analyzer. big endian a representation of a multi-byte value that has the most significant byte of any multi-byte data field stored at the lowest memory address. also see little endian . bist (built-in self test) the technique of designing circuits with additional logic that can be used to test proper operation of the primary (functional) logic. bitstream the bitstream is a binary representation of an implemented fpga design. the bitstream is generated by xilinx bit generation tools (bitgen and makebits) and is denoted with the .bit extension. for information on creating bit files, refer to the hardware debugger reference/user guide . block ram an 18 kb block of random access memory (ram) inside the virtex-ii pro device. dual-port and synchronous operation are desirable. block selectram fully-synchronous, dual-port memories in the virtex-ii pro fpgas. each of these memories contain 18 x 1024 (18,432) bits. the organization of each memory is configurable. block selectram resources complement smaller, distributed, lut-based selectram resources. book ? e ? a motorola and ibm jointly written architectural definition and instruction set for embedded powerpc implementations.
ug012 (v1.0) january 31, 2002 www.xilinx.com 555 virtex-ii pro platform fpga handbook 1-800-255-7778 r boot roms the program used to bring up a computer or system that is stored in read only memory boundary scan interface one of the configuration interfaces on the virtex device. this is a bit-serial interface. the boundary scan interface is also known as the jtag port. also see selectmap interface . breakpoint a location in a program at which execution is to be stopped and control of the processor switched to the debugger. mechanisms for creating and removing breakpoints are provided by most debugging tools. bsp (board support package) the purpose of the bsp is to isolate the user design from hardware making it easier to write new applications and to port applications from other environments. bsp consists of a set of software modules that offer interface to peripheral devices and low- level processor core functions for user software or c library. for example, these functions include hardware initialization, boot monitor access, drivers for all the available resources and features on board. cache a small block of high-speed memory located between the processor and main memory. cache stores frequently used data and instructions. cache improves system performance by reducing the need to access the system ? s slower main memory. cache block see cache line . cache line a portion of a cache array that contains a copy of contiguous system-memory addresses. cache lines in ppc405 are 32 bytes long and aligned on a 32 byte address. cache set see congruence class . capture data the flip-flop and pad data saved from the logic cells and i/o blocks into the bitstream for readback. use the capture_virtex primitive in your hdl code to specify the trigger and clock for the capture operation. cclk (configuration clock) during configuration, the configuration clock (cclk) is an output in master modes or in the asynchronous peripheral mode but is an input in slave, synchronous peripheral, express, and selectmap/slave serial modes. after configuration, cclk has a weak pull- up and can be selected as the readback clock. cdr (clock/data recovery) feature of most high-speed serial transcievers. at the receiver, a clock is generated based on the timing of data transitions. in this way, a clock signal is derived from the data.
556 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook glossary r channel bonding feature of multi-channel high-speed transcievers. allows multiple channels to be sued together, offering a greater aggregate bandwidth. chirp bit sequence which is transmitted by a high-speed transciever when it is not in use. the chirp is usually a repeating pattern of idle characters. the purpose of the chirp is to keep clock recovery circuits aligned and active while the link is not transmitting data. cisc (complex instruction set computer) the architecture of a processor family. cisc processors generally feature variable-length instructions, multiple addressing formats, and contain only a small number of general- purpose registers. intel ? s 80x86 family is the quintessential example of cisc. clb (configurable logic block) xilinx-specific name for a block of logic surrounded by routing resources. the functional elements for constructing logic circuits. the virtex-ii pro clb is made up of four slices, and each slice contains two logic cells. cml (current mode logic) a differential i/o standard used in high-speed serial channels. voltage swing is typically from 450 mv to 1200 mv. coherency coherency describes the ordering of reads from and writes to a single memory location. a memory system is coherent when the value read from a memory address is always the last value written to the address. in a system where all devices read and write from a single, shared system memory, memory is always coherent. comma a comma is a ? k ? character used by the transceiver to align the serial data on a byte/half- word boundary (depending on the protocol used), so that the serial data is correctly decoded into parallel data. common mode the dc component of a signal. in differential channels, it is the average voltage of the differential pair. compiler software that converts a higher-language description into a lower-level representation. for fpgas, the complete partition, place, and process. configuration bitstream configuration commands with configuration data. configuration commands instructions for the virtex-ii pro device. there are two classes of configuration command ? major and minor. the major commands read and write data to configuration registers
ug012 (v1.0) january 31, 2002 www.xilinx.com 557 virtex-ii pro platform fpga handbook 1-800-255-7778 r in the virtex-ii pro device. the minor commands instruct the virtex-ii pro configuration logic to perform specific functions. configuration data bits that directly define the state of programmable logic. these are written to a virtex-ii pro device in a configuration bitstream, and read as readback data from a virtex-ii pro device. configuration file the internally stored file that controls the fpga sot hat it performs the desired logic function. also, the act of loading an fpga with that file. that is, the process of programming xilinx sram-based fpgas with a bitstream. configuration frame the configuration bits in a virtex-ii pro device are organized in columns. a column of clbs with the i/o blocks above and below the clbs contain 48 frames of configuration bits. the smallest number of bits that can be read or written through the configuration interfaces is one frame. configuration interface a logical interface on the virtex-ii pro device through which configuration commands and data can be read and written. a interface consists of one or more physical device pins. configuration readback the operation of reading configuration data (also known as readback data) from a virtex-ii pro device. congruence class a collection of cache lines with the same index. constraints performance requirements imposed on the design, usually in the form of maximum allowable delay, or the required operating frequency. context switch the process of switching from one task to another in a multitasking operating system. a context switch involves saving the context of the running task and restoring the previously-saved context of the other. coreconnect ? bus architecture an interconnection internal bus developed by ibm. it eases the integration and reuse of processor, system, and peripheral cores elements of coreconnect architecture include the processor local bus (plb), the on-chip peripheral bus (opb), a bus bridge, and a device control register (dcr) bus. cross compiler a compiler that runs on a particular processor architecture and produces code for a different architecture.
558 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook glossary r crosstalk undesirable signal coupling from noisy aggressor nets to victim nets. may be eliminated by increasing the spacing between the nets or reducing signal amplitude of the aggressor net. cs pin the cs pin is the chip enable pin for virtex-ii pro devices. it is used only in selectmap mode. when cs is asserted (low) the device examines data on the data bus. when cs is de-asserted (high), all cclk transitions are ignored. dataframe a dataframe is a block of configuration data. a configuration bit-stream contains many such frames, each with a start bit and stop bits. also see configuration frame . dc balanced a channel is said to be dc balanced if it has an equal number of 1 ? s and 0 ? s transmitted across it. encoding schemes like 8b10b are designed to ensure this. dc coupling method of interfacing drivers and receivers without the use of series capacitors. a direct connection (through pcb trace) from driver to receiver. dcr (device control register) a coreconnect bus. dcr is designed to transfer data between the cpu ? s general purpose registers (gprs) and the dcr slave logic ? s device control registers (dcrs). debug monitor a piece of embedded software that has been designed specifically for use as a debugging tool. it usually resides in rom and communicates with a debugger via a serial port or network connection. the debug monitor provides a set of primitive commands to view and modify memory locations and registers, create and remove breakpoints, and execute your program. the debugger combines these primitives to fulfill higher-level requests like program download and single-step. debugger a software development tool used to test and debug embedded software. the debugger runs on a host computer and connects to the target through a serial port or network connection. using a debugger you can download software to the target for immediate execution. you can also set breakpoints and examine the contents of specific memory locations and registers. deterministic jitter the component of jitter attributable to the data pattern in the channel. different digital patterns have different spectral contents. these differing spectral contents give rise to varying amounts of signal jitter. device pin one of the electrical connections on the package containing the virtex-ii pro device.
ug012 (v1.0) january 31, 2002 www.xilinx.com 559 virtex-ii pro platform fpga handbook 1-800-255-7778 r dhrystone mips dhrystone is a benchmark program for testing a system ? s integer performance. the objective is to compare the performance of a machine against the performance of a reference machine. the industry has adopted the vax 11/780 as the reference 1 mips (million instruction per second) machine. differential signaling a signaling scheme which uses two complementary signals to transmit data. differential signaling offers faster data rates at reduced signal swing with higher signal-to-noise ratio. din pin during serial configuration, the din pin is the serial configuration data input receiving data on the rising edge of cclk. during parallel configuration, din is the d0 input. after configuration, din is a user-programmable i/o pin. dirty bit a bit in a memory cache or virtual memory page that has been modified by the cpu but not yet written back to storage. dispersion "smearing" of a signal or waveform as a result of transmission through a non-ideal transmission line. through a non-ideal medium, signals travel at different velocities according to their frequency. dispersion of the signal is the result. all cables and pcb transmission lines are non-ideal. done pin the done pin on a xilinx fpga is a bidirectional signal with an optional internal pull-up resistor. as an output, it indicates the completion of the configuration process. as an input, a low level on done can be configured to delay the global logic initialization and the enabling of outputs. double word eight bytes or 64 bits. dout pin during configuration in any mode except express and selectmap, the dout pin is the serial configuration data output that can drive the din pin of daisy-chained slave fpgas. dout data changes on the falling edge of cclk, one-and-a-half cclk periods after it is received at the din pin (in master serial mode only). dout/busy pin for virtex-ii pro devices, the dout/busy pin has a dual purpose, depending on device mode. when the device is in serial mode, this pin functions as dout. when the device is in selectmap/slave parallel mode, this pin functions as a handshaking signal. if busy is asserted (high) on a rising edge of cclk, the data is not seen on the data bus, and should be held until the data is accepted. dram (dynamic random access memory) a low-cost read-write memory where data is stored on capacitors and must be refreshed periodically. drams are usually addressed by a sequence of two addresses, row address,
560 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook glossary r and column address, which makes them slower and more difficult to use than srams. also see sram . dsl (digital subscriber line) sometimes referred to as a "last-mile technology" because it is used only for connections from a telephone switching station to a home or office, not between switching stations. dsl uses sophisticated modulation schemes to pack data onto pots (ordinary analog telephone) wires. downstream data rates of up to 32 mb/s can be achieved. the physical distance between the subscriber and the switching station must be kept short, however, to attain the higher speeds. dsocm (data-side on chip memory) see ocm (on-chip memory) . dsp (digital signal processing) the manipulation of analog data that has been sampled and converted into a digital representation. examples are filtering, convolution, fast-fourier-transform, and so on. edif (electronic data interchange format) industry standard for specifying a logic design in text (ascii) form. eembc (embedded microprocessor benchmark consortium) it develops and certifies real-world benchmarks and benchmark scores to help designers select embedded processors. effective address the un-translated memory address as seen by a program. emulator short for ice (in-circuit emulator) . endianness see big endian and little endian . equalization amplification or attenuation of certain frequency components of a signal. used to counteract the effects of a non-ideal transmission medium. esd (electrostatic discharge) high-voltage discharge can rupture the input transistor gate oxide. esd-protection diodes divert the current to the supply leads. exception an abnormal event or condition that requires the processor ? s attention. they can be caused by instruction execution or an external device. the processor records the occurrence of an exception and they often cause an interrupt to occur.
ug012 (v1.0) january 31, 2002 www.xilinx.com 561 virtex-ii pro platform fpga handbook 1-800-255-7778 r eye diagram an eye diagram of a signal overlays the signal ? s waveform over many cycles. each cycle ? s waveform is aligned to a common timing reference, typically a clock. an eye diagram provides a visual indication of the voltage and timing uncertainty associated with the signal. it can be generated by synchronizing an oscilloscope to a timing reference. the vertical thickness of the line bunches in an eye diagram indicate the magnitude of ac voltage noise, whereas the horizontal thickness of the bunches where they cross over is an indication of the ac timing noise or jitter. fixed dc voltage and timing offsets are indicated by the position of the eye on the screen. eye mask the size of the eye opening in the center of an eye diagram indicates the amount of voltage and timing margin available to sample this signal. thus, for a particular electrical interface, a fixed reticule or window could be placed over the eye diagram showing how the actual signal compares to minimum criteria window, know as the eye mask. if a margin rectangle with width equal to the required timing margin and height equal to the required voltage margin fits into the opening, then the signal has adequate margins. voltage margin can often be traded of for timing margin. fall time the time it takes for a waveform to transition from the high logic state to the low logic state. fall time is usually measured from 90% of the total signal swing to 10% of the signal swing. fifo (first-in first-out) fifo memory where data is stored in the incoming sequence and is read out in the same sequence. input and output can be asynchronous to each other. a fifo needs no external addresses, although all modern fifos are implemented internally with rams driven by circular read and write counters. fit (failure in time) describes the number of device failures statistically expected for a certain number of device-hours. expressed as failures per one billion (10 9 ) device hours. device temperature must be specified. mean time between failure (mtbf) can be calculated from fit. 10 fits are good; 100 fits are bad. fit (fixed interval timer) one of several user-accessible timers available in the virtex-ii pro fpga ? s powerpc 405 core. the fit provides timer interrupts having a repeatable period. the fit is functionally similar to an auto-reload programmable interval timer (pit), except that only a smaller fixed selection of interrupt periods is available. flash non-volatile programmable technology, and alternative to electrically-erasable programmable read-only memory (eeprom) technology. the memory content can be erased by an electrical signal. this allows in-system programmability and eliminates the need for ultraviolet light and quartz windows in the package.
562 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook glossary r flip-flop single-bit storage cell that samples its data input at the active (rising or falling) clock edge, and then presents the new state on its q output after that clock edge, holding it there until after the next active clock edge. flush a cache or tlb operation that involves writing back a modified entry to memory, followed by an invalidation of the entry. fpga (field programmable gate array) an integrated circuit that contains configurable (programmable) logic blocks and configurable interconnect between these blocks. xilinx fpgas are sram- based programmable logic devices (plds). fpu (floating point unit) floating-point operations include any operations that involve fractional numbers. frame see configuration frame . function generator also called a look-up table (lut), with n inputs and one output. can implement any logic function of its n inputs. n can be between 3 and 6; 4-input function generators are most popular. gate smallest logic element with several inputs and one output. the and gate output is high when all inputs are high. the or gate output is high when at least one input is high. the nand gate output is low when all inputs are high. a 2-input nand gate is used as the measurement unit for gate array complexity. gate array an asic where transistors are predefined, and only the interconnect pattern is customized for the individual application. gnu a recursive acronym ? g nu n ot u nix ? (pronounced ? guh-new ? ). the free software foundation's gnu project was launched in 1984 to develop a complete unix-like operating system that is freely distributed. gui (graphical user interface ) the way of representing the computer output on the screen as graphics, pictures, icons, and windows. pioneered by xerox and the apple macintosh, now universally adopted, e.g., by windows95 and others. halfword two bytes, or 16 bits.
ug012 (v1.0) january 31, 2002 www.xilinx.com 563 virtex-ii pro platform fpga handbook 1-800-255-7778 r hardwire xilinx name for a low-cost derivative of an fpga, where the configuration is fixed, but functionality and footprint are identical with the original fpga-based design. harvard architecture harvard architecture has separate data bus and an instruction bus. this allows instruction and data access in parallel making faster execution than a von-neuman architecture possible. ppc405 core is built on harvard architecture. hdc pin the high during configuration (hdc) pin is driven high until the i/os become active in the startup sequence. it is available as a control output indicating that configuration is not yet complete. after configuration, hdc is a user-programmable i/o pin. hdl (hardware description language) a kind of language used for the conceptual design of integrated circuits. examples are vhdl and verilog. hierarchical design design description in multiple layers, from the highest (overview) tot he lowest (circuit details). an alternative is flat design, where everything is described at the same level of detail. hit an indication that requested information exists in the accessed cache array, the associated fill buffer, or on the corresponding ocm interface. hypertransport ? a high-performance bus solution developed by advanced micro devices and several partners to break the i/o bottleneck in 32- and 64-bit systems. hypertransport provides a scalable architecture that provides better than an order of magnitude increase in bus transaction throughput over existing i/o bus architectures such as pci, pci-x and agp. formerly called lightning data transport (ldt). ice (in-circuit emulator) a debugging tool that takes the place of (emulates) the processor on the target board. emulators frequently incorporate a special "bond-out" version of the target processor that allows the user to observe and record its internal state as the program is executing. idle pattern a data sequence transmitted by a high-speed transciever as a placeholder or for link maintenance. the particular sequence of an idle pattern is determined by the communication protocol, and is usually a control character like k28.5. impedance (characteristic impedance) electrical characteristic of a transmission line, derived from the capacitance and inductance per unit length.
564 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook glossary r index register a special purpose register used by a processor when performing indexed addressing. the value in the index register is usually the reference location to which a displacement will be added. init pin the init pin is a quadruple function signal. before and during configuration, init is a bidirectional signal. a 1 - 10 k ? external pull-up resistor is recommended. as an active- low open-drain output, init is held low during power stabilization and internal clearing of the configuration memory. as an active-low input, it can be used to hold the fpga in the internal wait state before the start of configuration. during configuration, a low on this output indicates that a configuration data error has occurred. after the i/o become active in the startup sequence, init becomes a user-programmable i/o. instruction set is referred to the set of instructions that the microprocessor can execute. the instruction set specifies the types of instructions (such as load/store, integer arithmetic, and branch instructions), the specific instructions, and the encoding used for the instructions. the instruction set definition also specifies the addressing modes used for accessing memory. interrupt latency the amount of time between the assertion of an interrupt and the start of the associated interrupt service routine. interrupt service routine a section of code written to handle the tasks associated with an interrupt request. interrupt vector a special code that identifies the circuit requesting an interrupt. ip (intellectual property) in the legal sense, patents, copyrights, and trade secrets. in integrated circuits (ics), predefined large functions, called ? cores, ? that help the user complete a large design faster. isa (instruction set architecture) a term referring to a family of microprocessors with similar basic design, for example the powerpc architecture includes motorola s powerquicc, ppc7440, 7410, ibm ? s ppc 405, 440, and xilinx virtex-ii pro. isi (inter-symbol interference) a form of data corruption or noise due to the effect that data has on data-dependent channel characteristics. isocm (instruction-side on chip memory) see ocm (on-chip memory) .
ug012 (v1.0) january 31, 2002 www.xilinx.com 565 virtex-ii pro platform fpga handbook 1-800-255-7778 r jitter the jitter of a periodic signal is the delay between the expected transition of the signal and the actual transition. jitter is a zero mean random variable. when worst case analysis is undertaken the maximum value of this random variable is used. jitter tolerance jitter tolerance is defined as the peak-to-peak amplitude of sinusoidal jitter applied on the input that causes a predefined, acceptable loss at the output. for example jitter applied to the input of an oc-n equipment interface that causes an equivalent 1db optical power penalty. jitter transfer jitter transfer is defined as the ratio of jitter on the output of a device to the jitter applied on the input of the device, versus frequency. jitter transfer is important in applications where the system is utilized in a loop-timed mode, where the recovered clock is used as the source of the transmit clock. jtag (joint test action group) earlier name for ieee 1149.1 boundary scan, a method for testing boards and integrated circuits. also see parallel cable iv . kernel an essential part of any multitasking operating system software which controls how the rest of the system can operate. the kernel is to software what the cpu is to hardware. lan (local area network) a computer network that spans a relatively small area. most lans are confined to a single building or group of buildings. however, one lan can be connected to other lans over any distance via telephone lines and radio waves. a system of lans connected in this way is called a wan (wide area network) . latency the time between when something happens and when its response is generated. this is often critical in real-time applications lc (logic cell) metric for fpga density. the basic building block of the virtex-ii pro clb. an lc includes a 4-input function generator, carry logic, and a storage element. ldc pin low during configuration (ldc) is driven low until the i/os become active in the startup sequence. it is available as a control output indicating that configuration isn ? t complete. after configuration, ldc is a user-programmable i/o pin. ldt (lightning data transport) see hypertransport? .
566 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook glossary r linker a software development tool that accepts one or more object files as input and outputs a relocatable program. the linker is thus run after all of the source files have been compiled or assembled. little endian a representation of a multi-byte value that has the least significant byte of any multi-byte data field stored at the lowest memory address. also see big endian . logiblox library of logic modules, often with user-definable parameters, like data width. similar to lpm. logical address synonym for effective address. loopback path in a high-speed transceiver which connects the output to the input, on either the pma or pcs side, for testing purposes. lpm (library of parametrized modules) library of logic modules, often with user-definable parameters, like data width. similar to logiblox. lut (look-up table) also called a function generator with n inputs and one output. can implement any logic function of its n inputs. n is between 3 and 6; most popular are 4-input luts. lut selectram shallow ram structure implemented in clb look-up tables (luts). also see block selectram . lvds (low voltage differential signaling) a differential i/o standard commonly used for high-speed, low-swing signals. machine language a computer language that is directly executable by a computer without the need for translation by a compiler or an assembler. although the computer works on binary patterns, the program can usually be entered in octal or hexadecimal. man (metropolitan area network) a data network designed for a town or city. in terms of geographic breadth, a man is larger than a lan (local area network) , but smaller than a wan (wide area network) . mapping process of assigning portions of the logic design to the physical chip resources (clbs). with fpgas, mapping is more demanding and more important a process than with gate arrays. also see synthesis .
ug012 (v1.0) january 31, 2002 www.xilinx.com 567 virtex-ii pro platform fpga handbook 1-800-255-7778 r masking a process in which an operation can be performed on a single bit. memory map documentation that lists or shows the function of each location in memory. memory-mapped i/o a system of i/o in which each i/o location is treated as if it were memory. microblaze a 32-bit soft processor developed by xilinx miss an indication that requested information does not exist in the accessed cache array, the associated fill buffer, or on the corresponding ocm interface. mmu (memory management unit) performs address translation (logical to physical) and protection functions. the mmu divides logical storage into pages. mnemonic a easy to remember string representing a processor instruction. for example, eieio is the mnemonic of the ppc405 instruction ? enforce in order execution of i/o ? . mtbf (mean time between failures) the statistically relevant up-time between equipment failures. also see fit (failure in time) . multilevel signaling system where multiple logic levels are utilized instead of just two (high and low). this enables the tranmission of multiple bits in a single waveform. see http://www.signalintegrity.com/articles/misc/mls.htm multilinx cable the multilinx cable provides many complex functions and can be loaded with new firmware as it becomes available. it can be connected to the host computer in two ways: via a serial port or a usb port. the multilinx cable is supported by the hardware debugger software for slave serial and selectmap/slave parallel programming (as appropriate), as well as readback/verify. it is also supported by the jtag programmer software for jtag programming of both cplds and fpgas. multiprocessing the use of more than one processor in a single computer system. so-called "multiprocessor systems" usually have a common memory space through which the processors can communicate and share data. in addition, some multiprocessor systems support parallel processing.
568 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook glossary r netlist textual description of logic and interconnects. also see xnf file and edif (electronic data interchange format) . non-maskable interrupt (nmi) an interrupt that cannot be turned off. nre (non-recurring engineering) charges start-up cost for the creation of an asic, gate array, or hardwire. pays for layout, masks, and test development. fpgas and cpld do not require nre. object code the form of software after it has been translated (compiled) from the source code format a programmer writes into the machine format a microprocessor can understand. a set of processor-readable opcodes and data. the output of compilers, assemblers, linkers, and locators are files containing object code. ocm (on-chip memory) interface that supports the attachment of additional memory to the instruction and data caches, and that can be accessed at performance levels matching the cache arrays. on-chip debugger it can be considered as advanced on-chip debug monitor. it usually allows code download, memory/resource access, single stepping, reset, status, etc. opb (on-chip peripheral bus) a coreconnect bus. opb is architected to alleviate system performance bottlenecks by reducing capacitive loading on the processor local bus (plb). opb is designed to support lower-performance/speed peripherals such as iic, uart, gpio, usb, external bus controller, etc optimization design change to improve performance. also see synthesis . overshoot phenomenon where a signal rises to a level greater than its steady-state voltage before settling to its steady-state voltage. pad pad bits are extra bits used to make the total number of bits in a frame an integral multiple of 32, the number of bits in a configuration word. a pad word is an extra word used at the end of a configuration frame for pipelining. a pad frame is an extra configuration frame used at the beginning of a configuration readback and at the end of a configuration write for pipelining. parallel cable iv xilinx parallel cable iv (pc iv) is a high-speed download cable that configures or programs all xilinx fpga, cpld, isp prom, and system ace mpm devices. the cable
ug012 (v1.0) january 31, 2002 www.xilinx.com 569 virtex-ii pro platform fpga handbook 1-800-255-7778 r takes advantage of the ieee 1284 ecp protocol and xilinx impact software to increase download speeds over eight times faster than existing solutions. partitioning in fpgas, the process of dividing the logic into subfunctions that can later be placed into individual clbs. partitioning precedes placement. pcmcia personal computer memory card interface association. physical and electrical standard for small plug-in boards for portable computers. pcs (physical coding sublayer) part of the physical layer of the iso/osi reference stack model for gigabit ethernet. the pcs encodes 8-bit data octets into 10-bit code groups, which it passes down to the pma (physical media attachment) . in reverse direction, it also decodes 10-bit code groups passed up from the pma. peak-to-peak in the case of peak-to-peak voltage, a measure of a signal ? s total amplitude. in the case of peak-to-peak jitter, a measure of the extremes of excursion of the bit transition times. pecl (positive emitter-coupled logic) a differential i/o standard based on the ecl standard, but which operates with a positive supply voltage. (ecl uses a negative supply voltage.) pecl is used in clocking and high- speed data applications. peripheral component interface (pci) synchronous bus standard characterized by short range, light loading, low cost, and high performance. 66 mhz pci can support data byte transfers up to 528 megabytes per second (mb/s) on 64 parallel data lines. physical address the actual address that is placed on the address bus when accessing a physically implemented memory location or register. this address can be translated from the effective address. when address translation is not used, this address is equal to the effective address. pin-locking rigidly defining and maintaining the functionality and timing requirements of device pins while the internal logic is still being designed or modified. pin-locking has become important, since circuit board fabrication times are longer than pld design implementation times. pip (programmable interconnect point) in xilinx fpgas, a point where two signal lines can be connected, as determined by the device configuration. placement in fpgas, the process of assigning specific parts of the design to specific locations (clbs) on the chip. usually done automatically. also see partitioning .
570 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook glossary r plb (processor local bus) a coreconnect bus. plb interconnects high-bandwidth devices such as processor cores, external memory interfaces, pci, and dma controllers. plb offers 64- and 128-bit implementations pld (programmable logic device) generic name for all programmable logic: pals, cplds, and fpgas. pll (phase-locked loop) an electronic circuit that controls an oscillator so that it maintains a constant phase angle relative to a reference signal. pma (physical media attachment) part of the physical layer of the iso/osi reference stack model for gigabit ethernet. serializes code-grouped data passed to it from the pcs (physical coding sublayer) , and deserializes data to be passed up to the pcs. polling a process in which the status of devices attached to a bus system is periodically sampled. powerpc a risc-based computer architecture developed jointly by ibm, apple computer, and motorola corporation. the name powerpc is derived from ibm ? s name for the power (performance optimization with enhanced risc) architecture. prbs (pseudo-random bit sequence) a pattern that appears to be random, but is actually a predictable and repeatable sequence with a very long interval (i.e., billions of bits before repeating), depending on the pattern. preamble the preamble is a 4-bit binary sentinel ( ? 0010 ? b) used to indicate the beginning of the lengthcount in the header portion of the bitstream. at the beginning of configuration, fpgas ignore all data prior to the preamble but counts the number of data bits preceding the preamble, and the lengthcount counter increments for every rising cclk edge, even the ones proceeding the preamble. pre-emphasis pre-emphasis is magnitude boosting of high frequency spectral components before launching the signal (wave) onto the transmission line. transmission lines embedded in most standard pcb materials (fr4, rogers 43xx, nelco and rogers) suffer varying degrees of dispersion and loss in the 1 gigahertz spectrum. this is mostly due to conductance losses (leakage from the copper trace to any other conducting structure) and skin effect. dispersion is a phenomenon whereby spectral components travel at different velocities. the waveform looks smeared when it arrives at the receiver. both of these "characteristics" play into a diminished and poorly received signal. by boosting the high freq. spectral components, the magnitude of these components can be diminished as the wave travels through the transmission line, but since it starts out larger than the lower frequency components, the composite signal arrives at the receiver looking the way it was intended.
ug012 (v1.0) january 31, 2002 www.xilinx.com 571 virtex-ii pro platform fpga handbook 1-800-255-7778 r pre-emphasis is done by simply increasing the maximum amplitude of the signal for one bit period. if the signal is 1 bit in duration, the amplitude is allowed to rise to a value which is some percentage greater in magnitude. at this point, if the signal is to stay at the same logic state, the driver sends a decreased magnitude signal, or nominal logic level. every time a transition occurrs, the greater magnitude level is used. for all times after this that the same level is to be transmitted, the nominal magnitude is used. priority the level of importance of an event. most often, interrupts are assigned priorities. privileged (or supervisor) mode privileged mode allows programs to access all registers and execute all instructions supported by the processor. normally, the operating system and low-level device drivers operate in this mode. program counter a register that places addresses on the bus to retrieve information stored within a program. program pin the program pin is an active-low input that forces clearing of the fpga configuration memory and is used to initiate a configuration cycle. while program is held low, the fpga drives init low and continues to clear the configuration memory. when program goes high, the fpga finishes the current clear cycle, executes another complete clear cycle, goes into a wait state, and releases init . random jitter jitter caused by power supply noise, temperature variations and crosstalk. readback initiating a readback causes the configuration memory to become accessible to be serially clocked out and read from the device, or (byte-wide in selectmap/slave parallel modes). the configuration memory contains the configuration data, facilitating a read-verification of the data. the configuration memory can also contain the clb output logic states facilitating a read-capture of the internal logic states.read-verification and read-capture are used by the hardware debugger for hardware verification. for information on the readback specification and timing, refer to the programmable logic data book . for information on using the readback component in a design, refer to the libraries guide . for information on enabling the readback function in the implementation software, refer to the development system reference guide . for information on using the hardware debugger refer to the hardware debugger reference/user guide . for information on connecting the xchecker cable for readback, refer to the hardware users guide . readback data configuration data read from a virtex-ii pro device. the data is organized as configuration frames. real address synonym for physical address. real mode in real mode, programs address physical memory directly.
572 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook glossary r register a memory location that is part of a processor or an i/o device. in other words, it ? s not normal memory. generally, each bit or set of bits within the register controls some behavior of the larger device. relative addressing an addressing mode that calculates a new address based on the position of an instruction within a program. ringing common name for the characterisitic waveform seen when a transmission line ends at a high impedance discontinuity. the signal first overshoots the target voltage, then sags below, then overshoots again ? and continues this oscillating pattern with decreasing swing amplitude until finally settling at the target voltage. risc (reduced instruction set computer) a type of microprocessor architecture that runs very fast by simplifying the number of its commands. ppc405 is a risc microprocessor rise time the time it takes for a signal to rise from 10% of its total logic swing to 90% of its total logic swing. rom emulator a debugging tool that takes the place of-or emulates-the rom on the target board. a rom emulator acts very much like a debug monitor, except that it includes its own serial or network connection to the host. rom monitor a piece of debugging code which usually communicates via a serial connection to a host computer or terminal. also see debug monitor . routing the interconnection or the process of creating the desired interconnection of logic cells to make them perform the desired function. routing follows after partitioning and placement. rtos (real time operating system) also called real-time multitasking kernel . software which ensures that time critical events are processed simultaneously and efficiently within a predictable response time. in general, the use of an rtos simplifies the design process of a system by allowing the application to be divided into multiple independent tasks. san (storage area network) a high-speed subnetwork of shared storage devices. a storage device is a machine that contains nothing but a disk or disks for storing data. a san's architecture works in a way that makes all storage devices available to all servers on a lan (local area network) or wan (wide area network) .
ug012 (v1.0) january 31, 2002 www.xilinx.com 573 virtex-ii pro platform fpga handbook 1-800-255-7778 r schematic graphic representation of a logic design in the form of interconnected gates, flip-flops, and larger blocks. older and more visually intuitive alternative to the increasingly more popular equation-based or high-level language textual description of a logic design. selectmap interface one of the configuration interfaces on the virtex-ii pro device. this is a byte-serial interface. the pins in the selectmap interface can be used as user i/o after configuration has been completed or remain configured as a configuration interface. selectram xilinx-specific name for ram implemented in clbs. serdes (serializer/deserializer) a common name for a high-speed transciever that performs both parallel-to-serial and serial-to-parallel conversion. simulation computer modeling of logic and (sometimes) timing behavior of logic driven by simulation inputs (stimuli or vectors). single-ended method of signaling which, unlike differential signaling, only transmits signals over one net. skin effect loss electrical loss in a non-ideal medium due to skin effect. skin effect is the tendancy for high- frequency signal components to travel close to the surface of the medium. slice a subdivision of the virtex-ii pro clb. there are four vertical slices in each virtex-ii pro clb. each slice contains two logic cells. snr (signal-to-noise ratio) a measure of signal strength relative to background noise. the ratio is usually measured in decibels (db). if the incoming signal strength in microvolts is v s , and the noise level, also in microvolts, is v n , then the signal-to-noise ratio, snr, in decibels, is given by the formula: soft ip a synthesizable intellectual property which can be readily incorporated into an fpga. soft ip solves many of the time-to-market issues and also can simplify verification if a proper test bench is included. software interrupt an interruption of a program that is initiated by a software instruction. software interrupts are commonly used to implement breakpoints and operating system entry points. unlike true interrupts, they occur synchronously with respect to program execution. snr 20 10 v s v n ? () log =
574 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook glossary r sonet (synchronous optical network) a standard for connecting fiber-optic transmission systems. sonet was proposed by bellcore in the mid-1980s and is now an ansi standard. specint95 and specfp95 acronym for standard performance evaluation corporation, a nonprofit corporation set up by many computer and microprocessor vendors to create a standard set of benchmark tests. the most widely used set of tests, known as spec95, results in two sets of measurements, one for integer operations (specint95) and one for floating-point operations (specfp95). the spec95 benchmark tests are also called cpu95 tests. sram static random access memory. read-write memory with data stored in latches. faster than dram and with simpler timing requirements, but smaller in size and about four times more expensive than dram of the same capacity. stack an area of memory used to implement a data structure that follows the last in, first out method of access. the stack is usually used by the processor to keep track of subroutine calls and returns. stack pointer a special purpose register that tracks the location of the last entry in the stack. static timing detailed description of on-chip logic and interconnect delays. submicron the smallest feature size is usually expressed in microns ( = one millionth of a meter, or a thousandth of a millimeter). the state of the art is moving from 0.35 to 0.25 , and soon may reach 0.18 . for comparison purposes, the wavelength of visible light is 0.4 to 0.8 . one thousandth of an inch, or 1 mil, is 25.4 . sync word a 32-bit word with a value that is used to synchronize the configuration logic. synchronous circuitry that changes state only in response to a common clock, as opposed to asynchronous circuitry that responds to a multitude of derived signals. synchronous circuits are easier to design, debug, modify, and better tolerate parameter changes and speed upgrades than asynchronous circuits. synthesis optimization process of adapting a logic design to the logic resources available on the chip, like look-up tables, longline, and dedicated carry. synthesis precedes mapping.
ug012 (v1.0) january 31, 2002 www.xilinx.com 575 virtex-ii pro platform fpga handbook 1-800-255-7778 r tbufs buffers with a 3-state option, where the output can be made inactive. used for multiplexing different data sources onto a common bus. the pulldown-only option can use the bus as a ? wired and ? function. termination usually implemented with passive components, termination is used to interface drivers, receivers, and traces that have differing impedance values. typically, device drivers and receivers do not match the impedance of the pcb trace that connects them. termination resistors are employed to match the impedances of these components, maximizing signal transmission and reducing noise. timing relating to delays, performance, or speed. timing driven a design or layout method that takes performance requirements into consideration. tlb (translation lookaside buffer) tlb is part of an mmu. it has a table used in a virtual memory system keeping track of the physical address page number associated with each virtual address page number. a tlb is used in conjunction with a cache whose tags are based on virtual addresses. tr a c e a ppc405 feature which supports tracing of the instruction stream being executed out of the instruction cache in real time. tr a p 1. a program interrupt, usually an interrupt caused by some exceptional situation in the user program. in most cases, the os performs some action, then returns control to the program. 2. internally generated exceptions that deal with such instances as arithmetic overflow, divide by zero, and bound check failure. uart (universal asynchronous receiver/transmitter) an 8-bit parallel-to-serial and serial-to-parallel converter, combined with parity and start- detect circuitry, and sometimes even fifo buffers. used widely in asynchronous serial communications interfaces, such as modems. ui (unit interval) unit of time corresponding to one bit period. a unit interval is the time it takes to send one bit. usb (universal serial bus) a low-cost, low-speed, self-clocking bit-serial bus (1.5 mhz and 12 mhz) using four wires (v cc , ground, differential data) to daisy-chain up to 128 devices.
576 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook glossary r user mode user mode restricts access to some registers and instructions. normally, application programs operate in this mode. virtual address an intermediate address used to translate an effective address into a physical address. it consists of a process id and the effective address. it is only used when address translation is enabled. virtual mode in virtual mode, programs address virtual memory and virtual-memory addresses are translated by the processor into physical-memory addresses. this allows programs to access much larger address spaces than might be implemented in the system. vme older bus standard, popular with mc68000-based industrial computers. von-neuman architecture von-neuman architecture has a shared bus for instruction and data access. therefore simultaneous instruction and data transfer is not possible. wan (wide area network) a computer network that spans a relatively large geographical area. typically, a wan consists of two or more local-area networks (lans). watchdog timer a hardware timer that is periodically reset by software. if the software crashes or hangs, the watchdog timer will expire, and the entire system will be reset automatically wdm (wavelength division multiplexing) a type of multiplexing developed for use on optical fiber. wdm modulates each of several data streams onto a different part of the light spectrum. word four bytes, or 32 bits. write back a cache write policy in which data written into the cache by the cpu is not written into main memory until that data line in the cache is to be replaced. write pin the write pin is an input to virtex-ii pro devices in the selectmap/slave parallel mode, indicating to the device which direction data is flowing on the data bus. when write is asserted (low), data is entering the device (configuration). when write is de-asserted (high), data is leaving the device (readback). if write changes state when the device isn ? t expecting it, an abort occurs. for more information on the write pin, refer to the programmable logic data book, and in this handbook, design considerations , page 161 .
ug012 (v1.0) january 31, 2002 www.xilinx.com 577 virtex-ii pro platform fpga handbook 1-800-255-7778 r write through a cache write policy. a technique for writing data from the cpu simultaneously into the cache and into main memory to assure coherency xchecker cable the xilinx xchecker cable (model dlc4) is a serial download cable. the xchecker uses a serial 9-pin interface to the communication port of a host computer and two 8-pin headers for flying-wire connectors to a target board. the xchecker cable is supported by the hardware debugger software for performing slave serial configuration and readback of fpgas. the xchecker cable is also supported by the jtag programmer software for performing slave serial and boundary scan configuration of fpgas, and boundary scan programming of cplds. for more information on using the xchecker cable refer to the hardware users guide and the hardware debugger reference/users guide . xnf file xilinx-proprietary description format for a logic design. alternative is edif.
578 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook glossary r
ug012 (v1.0) january 31, 2002 www.xilinx.com 579 virtex-ii pro platform fpga handbook 1-800-255-7778 numerics 3-state buffers ,55 3-state driver (tbuf) ,55 3-state output buffer ,310 8b/10b decoder ,30 8b/10b encoder ,29 a ac characteristics ,71 ac coupling defined , 553 of transmitter/receiver ,72 address mapping ,251 addressing modes ,33 defined , 553 addressing scheme ,251 alu ,37 defined , 553 arithmetic logic ,53 asic defined , 553 virtex-ii pro compared with , 11-15, 497 aspect ratios ,57 asynchronous defined , 553 clocks ,62 interrupt inputs ,38 reads in selectram ,50 set/reset in register or latch ,41, 49 atm defined , 554 ip core for , 24, 377, 380 available products virtex-ii pro ,19 xc1700d config prom , 550 b ball grid array (bga) ,24 ber ,380 defined , 554 receiver switching ,84 refclk ,83 bf957 bank information diagram ,486 composite pinout diagram , 485 dedicated pins diagram ,487 flip-chip bga package ,496 bgn files , 519 bidirectional lvds ,367 bidirectional signals ,312 big endian ,39 defined , 554 instruction cache ,39 bit error rate see ber bit files description ,518 disabling ,523 loading downward ,525 loading up or down ,526 loading upward ,527 bit swapping description ,525 disabling ,525 bitgen -b option ,519 -d option ,519 description ,517 disabling drc ,519 drc file ,519 encryption options ,370 -g option , 519-522 -h option ,523 input files ,518 -j option ,523 -l option ,523 -m option ,523 options ,519 output files ,518 pcf files ,518 persistence switch ,442 readback option ,442 standard bitstream ,438 syntax ,518 -w option ,523 bitstream defined , 554 configuration ,438 data frames ,439 encryption , 68, 368-372 loading encrypted ,372 standard ,438 block selectram , 22, 56, 243-260 defined , 554 switching characteristics ,100 timing model ,135 timing parameters ,136 total available ,58 board routability ,505 board support package see bsp ,555 boundary scan instruction set ,415 mode ,67, 390 models ,516 boundary scan description language (bsdl) ,516 boundary scan interface defined , 555 and readback ,441 bsdl files ,516 bsp ,379 defined , 555 and platform generator , 372 buffers ,60 3-state ,55 3-state output , 310 bidirectional lvds ,367 global clock ,203 ldt ,368 output ,308 selecti/o ,45 bufg ,61 bufgce ,61, 215 bufgmux ,62 c cache defined , 555 and mmu ,37 controllers and plb ,34 data write-through register ,37 data (ppc405 core) , 19, 21, 36 instruction and debug logic ,39 big endian ,39 instruction (ppc405 core) ,19, 21, 36 cache line , 21, 22 defined , 555 cache pollution and ocm ,33 capacitors decoupling ,500 carry chains ,52, 65 in one clb ,55 logic ,52 multiplexer (muxcy) ,52 cascadable shift registers ,270 cclk ,66, 389 defined , 555 and configuration ,395 and configuration mode ,390 and master serial programming mode ,403 timing ,392 cdr , 12, 19, 21, 29 defined , 555 channel bonding ,31 defined , 556 characteristic impedance see impedance index
580 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook r characteristics ac ,71 dc ,71 electrical ,71 iob input switching ,88 iob output adjustments ,91 iob output switching ,90 land pads ,506 performance ,77 ppc405 switching ,80 rocket i/o switching ,83 switching ,79 checksum ,525 chipscope pro ,445 and coreconnect ,445 classification and export considerations ,370 clb , 22, 47 defined , 556 switching characteristics ,97 distributed ram ,98 clb/slice timing model ,126 clearing configuration memory ,392 clk ,234 clk2x ,229 clkdv ,230 clkfb ,225 clkin ,225 clock enable signal (ce) ,49 clock nets ,65 clock networks ,202-221 clocks ,64, 202 buffer input ,205 de-skewing ,63, 222 distribution ,60, 202 forwarding ,357 frequency ranges ,64 frequency synthesis ,62 global buffers ,61, 203 global multiplexer buffer ,60 global networks ,202 input clock tolerances ,156 multiplexer waveform , 62, 214, 215 multiplexers ,202 output clock precision ,157 phase shifting ,63, 232 resources ,203 skew ,63 coherency ,37 defined , 556 combinatorial logic functions ,54 comma defined , 556 detection , 19, 21, 30 command register (cmd) ,433 commands file, executing , 526 compiler defined , 556 memory ,267 configuration , 23, 66, 389 bitstream ,431 defined , 556 bitstream header ,438 block selectram ,56 boundary scan mode ,390 clearing memory , 392 data frames ,431 data processing flow ,436 distributed selectram ,49 dual-port ,50 -g option , 519-522 internal processing , 431 jtag ,504 logic ,431 master selectmap mode ,390 master serial mode ,390 mode ,67 mode pins ,389 modes , 66, 389, 390 multipliers ,59 of latches ,41 of registers ,41 option register (cor) ,433 pin settings ,67 process , 391 register writes ,437 selecti/o ,42 sequence ,68 single-port ,50 slave selectmap mode ,390 slave serial mode ,390 with multilinx ,431 configuration registers , 432 cmd , 433 cor ,433 crc ,434 ctl ,434 far ,434 fdri ,434 fdro ,434 flr ,433 lout ,434 mask ,434 stat ,434 writes ,437 conflict resolution ,247 constraining placement ,275 content-addressable memory (cam) , 269 control pins ,58 control register (ctl) ,434 control signals ,222 controlled impedance ,45 controlled output impedance ,45 conventions typographical ,8 core generator system ,372-387 coreconnect ? bus architecture ,35 defined , 557 and chipscope pro ,445 and platform generator , 372 cpm interface ,34 crc ,28, 32 16-bit polynomial ,440 and transmit latency ,85 register ,434 sequence ,439 crosstalk ,504 defined , 558 cs pin ,522 defined , 558 cyclic redundancy check see crc d data cache write-through register ,37 data encryption standard (des) ,68, 368 data frames ,439 data sheets virtex-ii pro ,19 xc18v00 series proms ,529 dc characteristics , 71, 72 dc coupling defined , 558 of transmitter/receiver ,72 dc input and output levels ,74 dci , 44, 333-348 i/o buffer library ,340 i/o standards ,46 software support ,340 dcm , 20, 23, 62, 222, 222-243 clock de-skew ,222, 223 control signals , 222 frequency ranges ,64 frequency synthesis , 62, 222, 228 legacy support ,226 location ,65 miscellaneous timing parameters ,158 operating frequency ranges ,155 overview , 222 phase shifting , 63, 222, 232 port signals ,225 timing model ,154 timing parameters , 104, 155 waveforms ,241 dcr defined , 558 and processor block timing model ,114 bus interface ,34 ddr i/o , 40, 348-362 input ,349 output ,351 output with 3-state control ,353 sdram ,357 debug interface ,35
ug012 (v1.0) january 31, 2002 www.xilinx.com 581 virtex-ii pro platform fpga handbook 1-800-255-7778 r debug logic ,38 debugger defined , 558 hardware ,523 jtag interface extensions ,35 debugging ,23, 68 using chipscope pro ,445 decoupling capacitors ,500 decryptor ,68 dedicated and (mult_and) ,53 dedicated or gate (orcy) ,54 dedicated pins ,389 diagrams , 463, 467, 471, 475, 479, 483, 487 delays ,88 input ,88 iob ,77 output ,90 des ,68, 369 deserializer ,30 de-skew ,63 de-skew circuit ,224 desynch command ,440 deterministic jitter defined , 84 device control register see dcr device/package combinations ,24 differential signaling ,368 defined , 559 digital clock manager see dcm digitally controlled impedance see dci din pin defined , 559 direct connect lines ,65 disparity control ,29 distributed selectram , 49, 260-269 dlls characteristics , 224 source clock input ,225 done pin defined , 559 double data rate i/o see ddr i/o dout pin defined , 559 dout/busy pin defined , 559 drc disabling for bitgen ,519 drc file , 519 dsocm defined , 560 see ocm: data side dsp , 14, 20, 22, 34, 59, 377, 378, 383 defined , 560 dual-port ram ,49, 56 dynamic read operations ,271 e edif , 253, 254, 265, 267, 274, 357, 374, 375, 376 defined , 560 effective address ,37 defined , 560 eic interface ,34 electrical characteristics ,71 electrostatic discharge see esd embedded multipliers ,296 timing model ,139 timing parameters ,139 encryption ,68 bitgen options ,370 bitstream ,368-372 endianness see big endian and little endian esd ,43 defined , 560 export considerations ,370 f fall time defined , 561 refclk ,83 fast slew rate , 91, 101 fddrcpe ,356 fddrrse ,356 ff1152 bank information diagram ,478 composite pinout diagram ,477 dedicated pins diagram ,479 flip-chip fine-pitch bga package ,494 ff1517 bank information diagram ,482 composite pinout diagram ,481 dedicated pins diagram ,483 flip-chip fine-pitch bga package ,495 ff672 bank information diagram ,470 composite pinout diagram ,469 dedicated pins diagram ,471 fine-pitch bga package ,492 ff896 bank information diagram ,474 composite pinout diagram ,473 dedicated pins diagram ,475 fine-pitch bga package ,493 fg256 bank information diagram ,462 composite pinout diagram ,461 dedicated pins diagram ,463 fine-pitch bga package ,490 pinouts ,451 fg456 bank information diagram ,466 composite pinout diagram ,465 dedicated pins diagram ,467 fine-pitch bga package ,491 fifo ,32, 377 defined , 561 application notes ,254 generating async ,243 in block ram ,78 in srl ,78 sync and async using core generator ,260 transmit ,29 fine phase adjustment ,63, 232 flip-chip advantages ,497 flip-chip packages , 24, 497 flip-flop , 22, 40, 43, 49 flip-flops in one clb ,55 frame address register (far) ,434 frame data register input (fdri) ,434 frame data register output (fdro) ,434 frame length register (flr) ,433 frequency ranges ,64 frequency synthesis ,62, 222 fully synchronous shift registers ,276 function generators ,52 g global clock buffers , 61, 203 global clock multiplexer buffer ,60 global clock nets , 65, 202 global clocks ,23 input to output delay ,101 input to output timing parameters ,152 setup and hold ,103 setup and hold timing parameters ,153 global routing matrix ,23 global routing resources ,65 gtl ,321 gtl+ ,322 h hardware debugger ,523 harvard architecture ,19, 36 defined , 563 hdc pin defined , 563
582 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook r hierarchical routing resources ,65 horizontal routing ,65 hstl_i ,322, 325 hstl_ii , 323, 326 hstl_iii , 324, 326 hstl_iv , 324, 327 hswap_en pin , 43, 66 hypertransport ? defined , 563 see ldt i i/o banks , 43, 44, 447 i/o buffer information specification see ibis i/o standards dci ,46 differential signaling ,22 single-ended , 22, 304 supported ,39 i/os user ,24 ibis , 511, 512 advantages ,512 file structure ,512 generation ,512 i/v and dv/dt curves ,513 ramp keyword ,513 simulations ,514 simulators ,515 ieee 1149.1 , 516 ieee 1149.1 - 1993 ,23 ieee 1532 , 23, 67, 443, 516 impedance ,45 defined , 563 controlled ,45 receiver termination ,30 init pin defined , 564 input clock tolerances ,106 timing parameters , 156 input ddr ,349 input delays ,88 input files bitgen ,518 promgen ,524 instruction set , 21, 33 instruction set , 36, 37 defined , 564 boundary scan ,415 interrupts ansynchronous ,38 iobs , 22, 39 3-state timing parameters ,148 delays ,77 input switching characteristics ,88 input timing parameters ,143 output switching characteristics , 90, 91 output timing parameters ,145 timing model ,141 iobuf ,312 iostandard attribute ,342 isocm defined , 564 see ocm: instruction side j jitter ,107 defined , 565 deterministic receive ,84 serial data out ,85 random defined , 571 serial data out ,85 jtag ,504 defined , 565 instruction ,68 mode ,67 test access port ,100 junction temperature specifications ,71 k keys ,372 creating ,370 l land pad characteristics ,506 land pads ,506 latch set/reset ,49 latches ,49 configuration ,41 latency receive, max ,84 transmit, max ,85 ldc pin defined , 565 ldt ,368 defined , 565 buffers ,368 dc specifications ,75 implementation ,368 software primitives ,368 see also hypertransport legacy data output register (lout) ,434 legacy support ,226 library primitives and submodules ,213 lightning data transport see ldt little endian ,39 defined , 566 ll files , 518, 523 loading ,372 locked output ,225 logic allocation file ,523 logic resources in one clb ,55 logical address see effective address long lines ,65 look-up table see lut loopback see rocket i/o transceiver loopback low voltage differential signaling (lvds) ,363 luts ,269 defined , 566 as shift registers , 269-279 in one clb ,55 lvcmos ,42 lvcmos 2.5v ,88 lvcmos15 ,332 lvcmos18 ,332 lvcmos25 ,333 lvcmos33 ,333 lvds ,363-368 defined , 566 3-state buffer termination ,367 bidirectional ,367 dc specifications ,75 extended dc specifications ,75 primitives ,363 receiver termination ,364 transmitter termination ,365 lvttl ,43, 331 m mask file , 523 mask register (mask) ,434 master selectmap mode ,390 master serial mode , 67, 390 memory clearing ,392 memory compiler program ,267 memory management unit see mmu mgt (multi-gigabit transceiver) see rocket i/o transceiver microblaze ? , 384, 385, 386 defined , 567 mmu , 37-38 defined , 567 mode pins ,66 modes boundary scan ,67 configuration , 390 boundary scan ,390 master selectmap ,390 master serial , 67, 390, 403 slave selectmap ,390
ug012 (v1.0) january 31, 2002 www.xilinx.com 583 virtex-ii pro platform fpga handbook 1-800-255-7778 r slave serial , 66, 390, 404 jtag ,67 no_change ,246 operating ,64 programming see modes: configuration read_first ,245 write_first ,245 msk files ,519 mult_ands in one clb ,55 multilinx cable ,431 defined , 567 multiplexers , 279-289 multiplexers ,52, 279 clocks ,202 large ,279 primary/secondary global , 206 wide-input ,284 multipliers ,59 blocks ,60 configuration ,59 embedded ,296 location ,59 switching characteristics ,99 multipliers, embedded ,296-303 n national institute of standards and technology (nist) ,368 no_change mode ,246 no_change option ,58 o obuf ,308 obuft ,310 ocm ,33 defined , 568 and processor block timing model ,114 cache pollution reduction ,33 data side ,34 instruction side ,34 interfaces ,34 thrashing reduction ,33 see also powerpc 405 processor block on-chip buses ,55 on-chip decryptor ,68 on-chip memory see ocm on-chip peripheral bus see opb on-chip termination ,45 opb defined , 568 and microblaze ? ,384 ip cores for ,379 ip for ,386 operating conditions recommended ,72 operating frequency ranges ,155 operating modes ,64 optimization defined , 568 orcy ,54 ordering information ,25 xc1700d ,550 output buffer (obuf) ,308 output clock jitter ,107 phase alignment ,107 output clock precision timing parameters ,157 output ddr ,351 with 3-state control ,353 output delays ,90 output drive strength ,314 output files bitgen ,518 name, promgen ,526 overwriting , 523 promgen ,524 output power/ground pairs ,316 overshoot defined , 568 overview of user guide ,7 p package specifications ,489 bf957 ,496 ff1152 ,494 ff1517 ,495 ff672 ,492 ff896 ,493 fg256 , 490 fg456 , 491 package/device combinations ,24 packages ,24 flip-chip , 24, 497 thermal considerations ,497 wire-bond ,24 packets ,438 data ,440 headers ,440 pads , 506 defined , 568 parallel cable iv ,402 defined , 568 parallel termination ,503 parallel terminations ,45 parameters miscellaneous timing ,108 pin-to-pin output ,101 timing ,104 partial reconfiguration ,68 pc20-84 specification ,530 pcb layout considerations ,499 pcf files bitgen ,518 pci defined , 569 pci33_3 ,331 pci66_3 ,331 pcix ,331 performance characteristics ,77 persist option ,390 phase shifting , 63, 222, 232 physical address defined , 569 pin-locking defined , 569 pinout diagrams ,459 pinout information ,447 pins ,389 chip enable see cs pin ,558 control ,58 cs ,522 defined , 558 dedicated , 389 din defined , 559 done defined , 559 dout defined , 559 dout/busy defined , 559 dual-function ,390 hdc defined , 563 hswap_en , 43, 66 init defined , 564 ldc defined , 565 mode ,66 power ,392 program defined , 571 types ,448 vbatt ,68 write defined , 576 pin-to-pin input parameters ,103 pin-to-pin output parameters ,101 pin-to-pin timing model ,151 place-and-route software ,65 placement constraints ,275 defined , 569 platform generator ,372 and coreconnect ,372 plb defined , 570 interfaces ,34
584 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook r port addressing scheme ,251 port aspect ratios ,57 port signals ,225 power analysis software ,511 power consumption ,61 power pins ,392 power supply requirements ,73 power-on ramp rate ,73 powerpc 405 processor block ,21, 180-202 cache data , 19, 21, 36 instruction , 19, 21, 36 debug resources ,22 features summary ,19 instantiation template, verilog ,193 instantiation template, vhdl ,185 interface signals ,180 mmu (memory management unit) ,22 ocm (on-chip memory) ,22 overview ,33 ppc405 cpu ,21 storage control ,21 switching characteristics ,80 timers ,22 timing model ,114 pre-emphasis ,19, 21 defined , 570 primitives lvds ,363 prm files ,524 program pin defined , 571 promgen -b option ,525 -c option ,525 -d option , 525 description , 523, 524 examples ,527 flow diagram ,523 -help option ,526 input files ,524 -l option ,526 -n option ,526 -o option , 526 options ,525 output file name , 526 output files ,524 -p option ,526 -r option ,527 -s option , 527 supported families ,523 -u option ,527 -x option ,527 proms bit swapping , 525 data sheet ,529 files, description ,524 formats , 526 loading files ,527 multiple files ,527 package specifications ,529 sizes ,527 r ram dual-port ,49 single-port ,49 rawbits file ,519 rbt files , 518, 519 read operations ,57 dynamic ,271 static , 271 read_first mode ,245 read_first option ,58 readback , 23, 68, 441 defined , 571 capture ,441 enabling in software ,442 ieee 1532 flow ,443 regular flow , 442 verification ,441 with boundary scan ,442 recommended operating conditions ,72 reconfiguration partial ,68 refclk timing (waveform) ,83 reference clock timing see refclk timing register defined , 572 set/reset ,49 registers configuration , 41, 432 ddr ,40 shift ,51 reset interface ,34 revision history data sheet , 25, 69, 109 ringing defined , 572 on pc board signals ,502 rise time defined , 572 refclk ,83 rocket i/o transceiver ,162-180 available ports ,162 block diagram ,28, 122 byte mapping ,175 cdr (clock/data recovery) ,29 channel bonding ,31 clock correction ,31 clock synthesizer ,29 clocking ,175 2-byte, vhdl template ,177 clock ratio ,176 using dcm ,176 configuration ,32 crc ,32 features summary ,19, 21 functional description ,27-32 i/o standards supported ,27 loopback ,30 defined , 566 modifiable primitives ,171 number of per fpga ,19 power down ,32 power sequencing ,32 primitive attributes ,166 receiver ,30 receiver buffer ,30 reset ,32 switching characteristics ,83 timing model ,121 transmitter ,29 transmitter buffer ,32 routability guidelines ,505 routing ,65 defined , 572 challenges ,505 matrix ,23 resources ,65 strategy ,506 rst ,225 s segmented routing ,65 selecti/o buffers ,45 configuration ,42 single-ended resources ,303-333 selecti/o ultra technology ,20 selectmap see configuration modes selectram ,20 block ,56 distributed ,49 in one clb ,55 reads and writes in ,50 total available ,58 serdes ,19, 21 defined , 573 serial transceiver see rocket i/o transceiver serializer ,29 series termination ,503 set/reset asynchronous, in register or latch ,41 in register or latch ,49 synchronous, in register or latch ,41 set/reset (sr) ,49 shift registers ,51 cascadable ,270 cascading ,51 fully synchronous ,276 in one clb ,55 operation ,270 static length ,277 signals bidirectional ,312
ug012 (v1.0) january 31, 2002 www.xilinx.com 585 virtex-ii pro platform fpga handbook 1-800-255-7778 r simulation , 14, 79, 96, 241, 249 defined , 573 simultaneous switching output (sso) ,316 single event upset (seu) ,43 single-ended defined , 573 i/o standards ,22, 304 selecti/o resources , 303-333 single-port ram ,49 slave selectmap mode ,390 slave serial mode ,66, 390 slew rate ,314 slices ,289 defined , 573 description ,47 in one clb ,55 so20 specification ,531 software place-and-route ,65 solder balls ,505 sop chains ,54, 65 in one clb ,55 specifications pc20-84 , 530 prom packages ,529 so20 , 531 vq44 ,532 srl16 , 270 srlc16 , 270 sstl2_i ,329 sstl2_ii ,330 sstl3_i ,328 sstl3_ii ,328 standard adjustments ,89, 91 standard bitstream ,438 standards supported i/o ,39 start-up sequence ,440 startup_wait attribute ,225 static length shift registers ,277 static read operations ,271 status register (stat) , 434 sum of products (sop) , 54, 289-296 summary of virtex-ii pro features ,19 supply voltage specifications ,71 switching characteristics ,79 synchronous defined , 574 set/reset in register or latch ,41, 49 synchronous dram ,357 t tbuf switching characteristics ,100 tbufs defined , 575 in one clb ,55 termination defined , 575 parallel ,503 series ,503 techniques ,315 terminations on-chip ,45 parallel ,45 test access port (tap) ,23 thermal considerations ,497 thermal management ,498 thrashing and ocm ,33 timing analyzer , 79, 113 timing models ,113 block selectram ,135 clb / slice , 126 dcm , 154 embedded multiplier ,139 iob ,141 pin-to-pin ,151 ppc405 , 114 rocket i/o mgt ,121 timing parameters ,104 block selectram ,136 dcm , 155 embedded multiplier ,139 general slice ,128 global clock input to output , 152 global clock setup and hold ,153 input clock tolerances ,156 iob 3-state ,148 iob input ,143 iob output ,145 miscellaneous , 108 miscellaneous dcm ,158 output clock precision , 157 ppc405 , 115 rocket i/o transceiver ,122 slice distributed ram ,131 slice srl ,134 tmult ,139 transceiver see rocket i/o transceiver transmission line effects , 315, 502 trce ,113 triple data encryption algorithm (tdea) ,369 triple des ,369 typographical conventions ,8 u user i/os ,24 v vbatt ,372 vbatt pin ,68 vcc decoupling ,500 vcco , 43, 315 verification using chipscope pro , 445 vertical routing ,65 vhdl and verilog templates ,217, 238, 254, 263, 267, 278, 286, 292, 302, 357 virtex-ii pro and virtex-ii compared ,27 architectural overview ,21 available products ,19 compared with asic , 11-15, 497 data sheet dc and switching characteristics ,71-109 functional description ,27-69 introduction and overview ,19-?? dci , 44, 333-348 des , 368 device/package combinations ,24 features summary ,19 i/o banks ,44 ip core support ,24 luts ,269 maximum number of i/os ,24 multiplexers ,279 ordering information ,25 package specifications ,489 pinout diagrams ,459 pinouts ,447 platform fpga technology ,20 slices ,289 vq44 specification ,532 vref , 43, 315 vrn ,45 vrp ,45 w weak-keeper circuits ,42 wide logic functions ,52 wide-input multiplexers ,284 wire-bond packages ,24 write enable (we) ,49 write operations ,57 write pin defined , 576 write_first mode ,245 write_first option ,57 x xc18v00 series proms ,529
586 www.xilinx.com ug012 (v1.0) january 31, 2002 1-800-255-7778 virtex-ii pro platform fpga handbook r
xilinx sales offices r for information on xilinx north american sales representative offices, see http://www.xilinx.com/company/sales/na_reps.htm for information on xilinx international sales representative offices, see http://www.xilinx.com/company/sales/int_reps.htm headquarters 2100 logic drive san jose, ca 95124 tel: (408) 559-7778 fax: (408) 559-7114 twx: (510) 600-8750 north america madison, al tel: (256) 722-4050 fax: (256) 722-9912 phoenix, az tel: (480) 753-4503 fax: (480) 753-4504 irvine, ca tel: (949) 727-0780 fax: (949) 727-3128 san diego, ca tel: (858) 558-5974 fax: (858) 558-6418 sunnyvale, ca tel: (408) 245-9850 fax: (408) 245-9865 greenwood village, co tel: (303) 220-7541 fax: (303) 220-8641 winter park, fl tel: (407) 673-8661 fax: (407) 673-8663 schaumburg, il tel: (847) 605-1972 fax: (847) 605-1976 deephaven, mn tel: (612) 473-4816 fax: (612) 473-5060 marriottsville, md tel: (410) 442-9748 fax: (410) 442-9749 nashua, nh tel: (603) 891-1098 fax: (603) 891-0890 ledgewood, nj tel: (973) 584-7199 fax: (973) 584-1390 raleigh, nc tel: (919) 846-3922 fax: (919) 846-8316 brecksfield, oh tel: (330) 659-3131 fax: (330) 659-9254 portland, or tel: (503) 293-9016 fax: (503) 293-3858 west chester, pa tel: (610) 430-3300 fax: (610) 430-0470 dallas, tx tel: (972) 960-1043 fax: (972) 960-0927 salt lake city, ut tel: (801) 268-3434 fax: (801) 266-9021 bellevue, wa tel: (425) 451-7000 fax: (425) 990-8989 oakville, ontario canada tel: (905) 337-0894 fax: (905) 337-3554 kanata, ontario canada tel: (613) 271-5264 fax: (613) 592-4256 european headquarters benchmark house, 203 brooklands rd. weybridge surrey kt13 0rh united kingdom tel: +44-1-870-7350-600 fax: +44-1-870-7350-601 benelux tel : +32-53-848310 fax: +32-53-848311 france and spain tel: +33-1-34-63-01-01 fax: +33-1-34-63-01-09 germany, switzerland, and austria tel: +49-89-93088-0 fax: +49-89-93088-188 italy tel: +39-02-487-12-101 fax: +39-02-400-94-700 sweden, norway, denmark, and finland tel: +46-8-594-61-660 fax: +46-8-594-61-661 united kingdom and ireland tel: +44-870-7350-603 fax: +44-870-7350-604 japan tel: +81-3-5321-7711 fax: +81-3-5321-7765 asia pacific headquarters tel: +852-2-424-5200 fax: +852-2-494-7159 korea tel : +822-761-4277 fax : +822-761-4278 shanghai tel: +86-21-6886-2323, 2322 fax: +86-21-6886-2333 ta i w a n tel: +886-2-2174-1388 fax: +886-2-2758-8367
xilinx sales offices r


▲Up To Search▲   

 
Price & Availability of XC2VP20-8FF896C

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X